当前位置:文档之家› 任意时间倒计时计数器的设计

任意时间倒计时计数器的设计

任意时间倒计时计数器的设计
任意时间倒计时计数器的设计

任意时间倒计时计数器的设计

摘要:本设计实现任意秒数倒计时的功能,首先利用555定时器连接电阻和电容改装成多谐振荡器,将输入脉冲经电路转换输出矩形波,并改变电容和电阻的参数使输出周期为1秒。计数部分用74LS192芯片来实现,74LS192芯片是8421码计时的,符合任意几十秒读数的需要。译码部分采用74LS48芯片,74LS48是把8421BCD码经过内部作用和电路“翻译”成七段输出,然后直接推动LED,显示十进制数。此设计功能完善,可以直接清零,启动和暂停/连续计时, 进而实现断点计时,同时还应用了七段数码管来显示时间。当计数器显示的数字递减到零的时候,会发出光电报警信号。其设计由计时模块、控制模块、以及译码显示模块3个部分组成。

关键词:计时器;报警;芯片模块化

The Design of Any Time Countdown Counter

Abstract:The design implements the function of 30 seconds countdown , At first ,use

a 555 timer concussion connected with resistor and capacitor converted into a multivibrator, which can inverter the input pulse into a circuit output square wave,and change the parameters of capacitance and resistance so that the output cycle is a second. The counting part is realized by 74ls192 chip,and 192 chip is timed at 8421 yards , which can meet the need of 30 seconds reading. Decoding part adopts 74ls48 chip, 74ls48 is at the 8421 BCD by internal function and circuit "translate" into seven period of output, then directly promote LED, and shows a decimal number.The function of this design is perfect, it can directly reset, start and stop/continuous time, then realize breakpoint time, at the same time it also uses seven digital tube to display time. When the counter of the digital display diminishing to zero, it will have a photoelectric alarm signal. It is designed by hourly module, control module, and decode display module 3 parts.

Keywords: The timer photoelectric; Alarm; Modular

目录

第一章绪论 (1)

1.1课题背景与意义 (1)

1.2论文的主要内容 (1)

1.3论文的基本要求 (1)

第二章电路设计 (2)

2.1电路设计方案 (2)

2.2工作原理 (3)

第三章倒计时计数器元器件介绍 (5)

3.174LS48芯片 (5)

3.274LS192芯片 (7)

3.3555振荡电路 (8)

3.4单元模块 (9)

3.4.1时钟模块 (9)

3.4.28421BCD码递减计数器模块 (11)

3.4.3译码显示模块 (12)

3.4.4报警电路模块 (14)

第四章电路仿真及其未来展望 (15)

4.1电路仿真 (15)

4.2系统仿真结果 (15)

4.3不足之处与未来展望 (17)

第五章总结 (18)

参考文献 (19)

第一章绪论

1.1课题背景与意义

随着科学技术和计算机应用方面的不断发展,计时器在许多领域中得到普遍应用,如体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来作为各种药丸、药片、胶囊在指定时间提醒用药等等,由此可见计时器对现代社会的意义是何其重大。在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的产品。

倒计时计数器的用途很广泛。它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关。加上运用显示电路将倒计时的时间显示出来,从而更让人觉得这是个比较方便的控制加定时开关。

1.2 论文的主要内容

此次设计主要是验证30S倒计时计数器的功能,整体功效分为:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器显示“00”,同时发出光电报警信号;计时器为30秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等功能。

1.3 论文的基本要求

(1)设计必须有3O显示计数功能;

(2) 设置为3OS下降定时器,定时器的时间间隙应设置为1;

(3)设置外部操作开关,保证启动和暂停/连续功能,控制计数器的直接清零;

(4) 在直接清零的时候,需要数码显示器的灯不会熄灭;

(5) 当减少到计数器的数字为零时,数字显示灯不熄灭,报警器就会发出报警信号。

第二章电路设计

2.1 电路设计方案

对设计任务的分配的设计思想,系统的设计包括一个秒脉冲发生器,计数器,解码显示,控制器和报警器,由这5个结构组成的。定时电路降低的时间,时间间隔应1S,定时器将自动减1,其中,计数器和控制器是整个系统的核心部分。计数器具备实现30S倒计时计数的功效,而控制电路则必须具备控制计数器的清零,驱动和停息/连续的功效、译码显示器是不是会显示,还有光电报警器会不会发出报警信号等功能。

图 2.1 30S倒计时器电路图

为了满足此次设计的需要,设置控制电路及控制开关是时候,需要认真处理好每个信号之间的时序关系,否则实验不会顺利的演示成功。

在操作中直接明确要求,计数器复位,数字显示灯不发光。当S2开关处在时间的封闭状态,控制电路应封锁时钟信号CP,还需要反功能明确,译码显示30字符样,针对计数器开始逐级递减计数;当使停息/连续开关S1处于断开形态的时刻,计数器会暂停计数,而后处在保持状态;当暂停/继续开关S1处于关闭状态,计数器将继续下降,计数,当计数器计数降为零,导致控制器发出报警信号,二极管灯会点亮。当暂停/持续开关S1与地接线的时候,计数器会直接清零,同时数码显示器上的灯会熄灭。

篮球30s倒计时计时器的框图如图2.1所示。它由计数器、译码显示器、秒脉冲发生器、报警器、控制电路板等构成的。为了介绍报警电路的作用,所以用发光二极管来取代,二极管发光可以代表发出了警报效果。组成的电路图如图2.1所示:

2.2 工作原理

此次课程设计要完成的是一个倒计时计数器,倒计时计数器的工作过程是:接通电源后,打开拨动开关,两个数码管都显示零,按动复位开关,数码管显示30,并且从30开始倒计时计数直到零为止,在中间任意时刻按动复位开关都会从30开始倒计时。该电路利用555芯片构成的多谐振荡电路产生脉冲信号,经过74LS08与门电路作用于74LS192,先给74LS192值数,经过同步十进制计数器74LS192计数,再经过译码器74LS48进行译码,数字将会在数码管上显示。

本次实验利用了555芯片组成的多谐振荡电路发出脉冲信息,而后再通过译码器74LS08与门电路作用于十进制计数器74LS192,但一定要给74LS192十进制计数器的值,通过74LS192十进制计数器计数,该74LS08解码器的解码,最终将会显示在数码管上,让人读取。整体原理框图如图2.2所示

图2.2 设计总体原理方框图

第三章倒计时计数器元器件介绍

本文设计需要使用元器件具体有555定时器,7个字符显示译码器74ls48,同步十进制可逆计数器74LS192,时钟电路模块,8421BCD码计数器电路模块,译码显示器电路模块,报警器电路模块。关于这些元件相关功能和参数会进行如下的简单介绍。

3.1 74LS48芯片

随着74LS48的7节字符显示译码器输出功能,高效,安全的工作电压为5V。如图3.1所示:

图3.1 74LS48 引脚效果图

74LS48除能够达成7段字符显示译码器基本功能的输入(ABCD)和输出(a~g)端外,它还引入了测试灯输入端LT和动态清零输入端RBI,这样隐藏的输入/输出BI/RBO终端的输入和输出功能的动态复位功能。

通过74LS48真值表可以得到该芯片本身所具有的逻辑关系功能:

(1)7段字符解码显示功效(当LT=1,RBI=1时)

在测试灯输入端LT和动态清零输入端RBI都接无效电平时,输入DCBA经74LS48译码,输出高电平有效的7段字符显示器的启动信息,显示相应字符。除了DCBA = 0000,RBI也可以连接到一个低电平上,

(2)消隐功能(当BI=0时)

当输入端作为BI/RBO时,当该输入端输入低电平信号的时刻,无论LT 和RBI 输入高电平信号以及低电平信号,无论输入DCBA做为甚么状态呈现,输出端将会显示为“0”,7段字符显示器不显示。此为多显示器的动态显示功能。

(3)灯测试功能(当LT = 0时)

当输出端为BI/RBO端,输入信号是低的,独立于DCBA输入,输出信号显示为“1”,7个字符的显示器都亮。此为7段显示器的测试作用上的功能,鉴别显示器中是不是有损坏的字段问题的出现。

(4)清零功能(当LT=1,RBI=1时)

当输出端为BI/RBO端,输入信号是高的,RBI 端输入低电平信号,这个时刻当DCBA =0000时,输出信号显示为“0”,这样会导致7段字符显示器都熄灭,但不会显示出这个零。当DCBA≠0的时候,则不会影响其显示功效。此为多个7段显示器同时显示时的功效,高电位上的数字会熄灭。如图3.2所示:

图3.2 7段显示译码器7448(a)逻辑图(b)方框图(c)符号图如图所示可以观察到,4号管脚端同时具有输入和输出的功效。当输入双低,G21=0,输出的所有字段都设置为0,这将实现消隐功效。当LT=1,RBI=0,DCBA=0000时,输出将显示一个较低的水平,这将实现动态复位功效。当LT端低电平有效时,V20=1,输出的所有字符设置为1,此时可以实现灯测试。

3.2 74LS192芯片

74LS192可用为同步十进制可逆计数器。它具备双时钟输入、复位与置数等功能。其逻辑功能表为表3.1,逻辑图如图3.3所示

表3.1 74LS192芯片的功能表

图3.3 74LS192逻辑图

引脚排列及逻辑符号如图3.4。

(a)引脚排列 (b) 逻辑符号

图3.4 引脚排列及逻辑符号

如上图所示:作为加计数端,作为置数端,作为非同步进位输

出端,作为减计数端,作为非同步借位输出端,P0、P1、P2、P3作为计数器输入端,Q0、Q1、Q2、Q3作为数据输出端,作为清除端。

3.3 555振荡电路

555管脚内部电压使用了三个标准阻值为5K的电阻阻,所以给它取名为555电路。故555管脚如图3.5所示:

图3.5 555管脚图

由555振荡电路构成的多谐振荡器如图3.6(a)(b)所示:

图3.6 多谐振荡器

555振荡电路需要R1 和R2 都大于1.5KΩ,且R1+R2的值要不超过3 MΩ。

3.4 单元模块

3.4.1时钟模块

这个电路使用多个谐振电路,包括555定时器和脉冲产生电路。NE555集成电路应用程序和工作模式可以分为3种类型。每种类型的工作分为不同的电路块。在实际使用中,除了单一的电路,还可以使用不同的电路组合很多复杂的电路,如:单稳态、双稳态、稳态和双稳态的不稳定和没有组合电路的稳定性等。图3.7是NE555的内部框图和管脚图。

NE555组成的多谐振荡器如图3.8所示,接通电源后,电容器C1被充电,Vc上升,当Vc上升到3/2Vcc时,触发器复位,同时释放出电流,三极管导通,此时Vo 为低电平,电容C1通过R4和T放电,使Vc下降,当下降至1/3Vcc时,触发器重新置位,V0跳转为高电平。电容C的放电时间为:

tPL=R4Cln2≈0.7R4×C (1)当电容C放电结束时,T截止,Vcc将通过R4和R3向电容器C充电,Vc由1/3Vcc 上升到2/3Vcc所需时间为:

tPH=(R3+R4)C×ln2≈0.7(R3+R4)C (2)当Vc上升到2/3Vcc时,触发器又发生了跳转,如此反复,在输出端就得到一个周期性方波,频率为:

f=1/(tPL+tPH)≈1.43/( R3+2R4)C (3)在本设计中选择R17=100K,C1=10uF,经过计算需要一只R16=22K即可输出频率为1HZ周期为1秒的秒脉冲,达到设计要求。

图3.8 NE555定时器结构

3.4.2 8421BCD码递减计数器模块

电路设计采用3O进制计数器的整体置数。首先将两片74LS192接成百进制计数器,然后将电路的29状态译码产生LD=0信号同时加到两片74LS192上,在下个计数脉冲(第30个输入脉冲)到达时将0000同时置入两片74LS192中,从而得到三十进制计数器。本设计是做篮球30s倒计时电路,30进制计数器的计数CP脉冲应从CP

D

端(即DOWN端)输入,并且计数器的预置数应为00110000(BCD码),其中上片74LS192芯片置为0000,下片74LS192芯片置为0011,即为十进制的30.它的计数原理是:当低位计数器BO端发出借位脉冲时,高位计数器才作递减计数。当高、低位计数器

处于全零,且CP

D 为0时,置数端LD为0,计数器完成并行置数,在CP

D

端的输入时

钟脉冲作用下,计数器再次进入下一次循环减计数。

由74LS192组成的30进制递减计数器如图3.9所示。74LS192是一种同步的8421BCD码加/减计数器,具有清零、置数、计数等功能。计数器选用汇总规模的集成电路74LS192进行设计要相对简单一点。74LS192是双时钟十进制的可逆计数器,

CP

U 为加计数时钟输入端,CP

D

为减计数时钟输入端, LD为预置输入控制端,表现为

异步预置,CR为复位输入端,高电平有效,异步清除,CO为进位输出端:表现为1001

状态后负脉冲输出,BO为借位输出:0000状态后负脉冲输出。

图3.9 三十进制递减计数器

3.4.3 译码显示模块

这类模块是由两片74LS248译码器和共阴极七段字符液晶显示器组成的,它的透明度和呈现的颜色受外加电场的影响,利用这一特性便可做成字符显示器。经过计时器的输出加载到解码器的输入过程,继而达成共阴极七段液晶显示器从30减至0的计时功效。

74LS248是七段字符显示译码器

用A、B、C、D默示译码器显示模块输入的BCD代码,用a---g默示7位二进制代码的输出,用L表示数码管熄灭形态,用H表示数码管点亮形态。

其功能表为下表3.2所示:

表3.2 74LS248译码器功能表

试灯输入端,表现为低电平有效。当=O时,数码管的七段管全亮,与输入进去的译码信号毫无关系。此输入端用来测试LED数码管的好与坏;

动态消隐输入端,也表现为低电平有效。当=1,=O时,译码输入全都为0时,则不显示输出,显示器显示为O字时,表示该灯已熄灭;当解码输入不全为0,正常显示。此输入可以用来消除无效O.

灭灯输入/输出端动态消隐,可作为输入和输出的影响。当作为输入使用且都为O的时候,数码管的七段字符全灭且与译码的输入量没有关系。但

作为输出应用时,此时受控制于和;当=1且=O时,

=O;否则其他情况下=1.这主要适用于多个性状的姐解码器显示之间的相互联系,它的掌握是非常重要的。

(2)共阴极七段LED数码管

共阴极七段字符LED数码管在日常中经常用到,但是它的管脚COM端一定要接地,其管脚图如图3.10:

图3.10 管脚图

数码管使用条件:

1、安全电流:静态工作时,总电流不得超过 8OmA;动态工作时,平均电流不得高出6mA ,峰值电流不得高出 O.1A。

2、安全电压:段电压可以根据灯管发光颜色来决定;小数点的电压也可以根据灯管发光颜色决定。

3、段及小数点电路上必须要加限流电阻,才能安全接线实验。

3.4.4 报警电路模块

当计数器减至0的时刻,数码显示器熄灭,二极管会发出光电报警信号。在这一点上可以借错位信号返回至CT,CT=1时,计数器停止工作。在proteus仿真中应该给发光二极管和一个1K欧姆的限流电阻,他将扮演一个电路保护功效。才能放心运行,其报警电路如图3.11所示。

+5v

图2-8报警电路模块

图3.11 报警电路原理图

第四章电路仿真及其未来展望

4.1 电路仿真

此次设计可以利用Proteus软件进行电路仿真实验,

Proteus被广大人们所熟悉地最好地电路仿真用具,Proteus软件与其它仿真软件的区分是,它不单可以进行单片机CPU运行的仿真,也还可以仿真单片机外围电路和单片机从头至尾都没有参与的另类电路的仿真情形。关于这样的仿真试验而言,从一种眼光上来说,解救了实验和工程操纵间脱离的不及,冲突和现象的产发,具备非常重要的释义,值得正视。仿真的基本步骤:

设计电路仿真前详细检查是否连接正确,引脚芯片没有选错了地方。完全确认合格后才可进行电路仿真实验。

1.用虚拟器件在工作区建立电路;

2.选定元件的模式、参数值及标号;

3.选择分析功能和参数;

4.激活电路进行仿真。

4.2 系统仿真结果

开始复位时,显示器显示为30,如图4.1所示

图4.1 电路开始复位时的仿真图

系统总体电路图绘制完成后,当点击Proteus仿真软件中的play按键时,电路系统中各元器件通电,按下左边的复位键就可以显示器上显示30的字样,然后接通SW1上面的开关,就会在显示器上看到数字逐渐递减,当按下SW1下面的开关就会计时停止,在某一时刻出现以下的图样,如图4.2所示。

图4.2 中途暂停显示出现14数字的仿真图

接着连接SW1上面的开关,系统又会继续递减数字,逐渐递减到0为止,如图4.3所示。

图4.3 显示器递减到零时的仿真图

通过调节555定时器的R4的参数值,增大的时候(通常调整到50k)可以增加递减时间间隔,通过此仿真操作基本上可以实现用外置操作开关系统,直接控制定时器的启动和中止/继续功能;在直接复位的时刻,数码管液晶显示器显示零零,同时报警器会发出报警信号;计时器为30S起始递减时其时间间隙为1S;定时器减少到零,数字显示灯不发光,同时,报警器就会发出报警信号等功能。

4.3不足之处与未来展望

所设计的30S倒计时器,基本上达到了应用所需要求。由于学校方面不怎么提供实验器材的帮助,所以未能做成实物,这一点稍稍有点遗憾。

如果做成实物,我想也还不够完美,毕竟这一块上我还不是太精通,以后有时间需要多加锻炼。通过软件仿真试验的设计,可以减少错误,毕竟,在现实中,由于误差和仪器之间的电路装置工作很长时间会发热,使得测量数据不会很准确。因此以后在从事研发工作的时候要多加注意这一点。所以元器件需要更加的精密。

作为篮球运动30S倒计时器的应用,篮球运动作为一项很受人们欢迎健身项目,已有一段很长的历史发展过程。在我国,篮球运动非常盛行,而且篮球比赛也向职业化步伐迈进。有必要在篮球比赛中使用定时器,目前市场的绝大部分是用24S的系统,但随着篮球制度的改革与发展可以采用30S的系统。是以策划一款30S计时器是很是需要也是很有应用前途的。

有需要就有市场,有市场才有研发人员的热血。

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

篮球竞赛30s计时器

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级机电1303 学号2013040604110 学生姓名曾闯 指导教师孙琦

一、概述 随着信息时代的到来,电子技术在社会生活中发挥越来越重要的作用,运用模电和数电知识设计电子产品成为社会生活不可缺少的部分。就计时器来说,成为检验运动员成绩的一种重要工具。 电子课程设计是电子技术学习中一个重要的环节,可以真正的锻炼学生的能力。在许多领域中计时器得到了普遍应用,如体育比赛,定时报警器,游戏中的倒时器,交通信号灯,红绿灯,行人灯等,说明了计时器的重要性。篮球竞赛中规定了球员持球的时间不能超过30s,否则就为犯规,本课程设计的正是“篮球竞赛30s计时器”,可用于篮球比赛中,对球员持球时间做30s限制,一旦球员持球时间超过30s,它自动报警从而判断球员犯规。 本次课设要求完成一个用于篮球竞赛的30秒计时器。 设计要求 ①篮球竞赛30秒计时器的直流稳压电源要求自己设计。 ②在选择器件时,应考虑成本,要求采用LED灯显示。 ③根据技术指标,通过分析计算确定电路和元器件参数。 ④画出电路原理图(元器件标准化,电路图规范化)。 二、方案设计 该电路包括脉冲发生器、计数器、译码显示电路、控制电路、报警电路、直流稳压电源6个部分组成。计数器和控制电路是主要部分。计数器完成30s计时功能,控制电路完成启动计数、暂停/连续计数、译码显示电路的显示和灭灯等功能。总体设计框图如下: 在篮球比赛过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理如图1所示。 图1 篮球竞赛30秒计时器原理框图 能完成的功能:①显示30s倒计时功能;②系统外部设置操作开关,控制计时器直接清零、启动和连续/暂停功能;③在清零时数码管显示“00”;④计时

模可变计数器设计(Verilog语言)概览

模可变计数器设计 (一)实验目的 1、进一步熟悉实验装置和QuartusⅡ软件的使用; 2、进一步熟悉和掌握EDA设计流程; 3、学习简单组合、时序电路的EDA设计; 4、学习计数器中二进制码到BCD码的转换技巧; 5、学习实验装置上数码管的输出方法。 (二)设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现模可变计数器功能,具体要求如下: 1、设置一个按键控制改变模值,按键按下时模为10-99之间(具体数值自行确定)的 数,没按下时模为100-199之间(具体数值自行确定)的数; 2、计数结果用三位数码管十进制显示。 (三)主要仪器设备 3、微机1台 4、QuartusII集成开发软件1套 5、EDA实验装置1套 (四)实验步骤 主要有三个模块 1:一个模20和模119的计数器 2:数码管的显示 3:BCD的调整 源程序: module count (clk,m,en,rst,a,sel,SG,d); input clk,m,en,rst; output [7:0] SG; output [2:0] sel; output a; (* synthesis, keep *) reg clk1; (* synthesis, keep *) wire [3:0] gw,sw,bw; /*(* synthesis, keep *) */reg [3:0]a; reg [11:0] q; reg [11:0] model;

reg [7:0] cnt,SG; reg [2:0] sel; reg [0:0]d; output [0:0]d; always @(posedge clk) begin cnt=cnt+1; if (cnt==200) begin clk1=1'b1; cnt=0; end else clk1=1'b0; //200分频,CLK为数码管扫描频率,CLK1为计数频率 if (sel<2) sel=sel+1; else sel=0; end //sel为数码管选择 always @(sel) begin case (sel) 0: a=bw; //0数码管为百位 1: a=sw; //1数码管为十位 2: a=gw; //2数码管为个位 default: a=0; endcase case (a) 0:SG<=8'b00111111; 1:SG<=8'b00000110; 2:SG<=8'b01011011; 3:SG<=8'b01001111; 4:SG<=8'b01100110; 5:SG<=8'b01101101; 6:SG<=8'b01111101; 7:SG<=8'b00000111; 8:SG<=8'b01111111; 9:SG<=8'b01101111; //8段译码值 default: SG=8'b11111111; endcase end always @(m) if (m) model=12'b000000100000; //模值20 else model=12'b000100011001; //模值119 assign gw=q[3:0]; assign sw=q[7:4]; assign bw=q[11:8]; always @(posedge clk1,negedge rst) begin if (!rst) q=0; else if (en) begin if (q

倒计时秒表课程设计

倒计时秒表课程设计

目录 一.设计目的 (1) 二.设计要求 (1) 三.总体设计 (1) 设计方案 (1) 硬件电路设计 (1) 1)C P U部分 (1) 2)晶振电路部分 (2) 3)L C D显示 (3) 4)键盘及蜂鸣器部分 (3) 软件程序设计 (4) 四.方案实施 (6) 单片机简介 (6) 4.2动态L C D液晶显示器显示 (6) 4.3 软件调试及调试方法 (8) 五.课程设计总结 (10) 六.参考文献 (10) 七.附件 (11) 源程序 (12) 总体电路图 (22)

一.设计目的 1熟悉整个项目的流程即单片机系统设计过程 2 学会使用各种仿真软件 3熟练的使用汇编语言编写小的应用程序 4 掌握系统的调试与安装 5提高学生的自学能力和动手能力 二.设计要求 1)可以实现正常秒表的所有功能,包括启动,暂停,复位等 2)可以自由设定倒计时时间(10s,20s,30s....),并进行倒计时(10s,20s,30s....) 3)显示方式自选 4)任选一款51单片机 5)扩展功能:在秒表基础上增加时钟功能;倒计时完成时加入报警单元,如声音,灯光等 三.总体设计 设计方案 1)方案讨论和设计:倒计时数字秒表的设计主要考虑以下几个问题:一,LCD液晶显示器如何显示数字0—9;二,如何用单片机来控制LCD的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必须先了解LCD的显示原理和接线方法,再了解单片机的组成原理和控制方法。硬件电路的绘制和软件程序的编写是此次设计的关键和基础,只有硬件电路的设计是正确的、合理的,软件设计才可以根据硬件电路编程,以下的设计才能够进行。 2)主要任务:软件的调试和烧录 硬件电路设计 1)CPU部分 口是“调模式”num 10,num20,num30,num50,num100 口是“开始”倒计时端口 口是“关闭”(返回)轰鸣器口,在定时可以返回到模式状态。 口是给轰鸣器送触发信号口 口是“暂停”口

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

模可变计数器设计Verilog语言

模可变计数器设计 V e r i l o g语言 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

模可变计数器设计 (一)实验目的 1、进一步熟悉实验装置和QuartusⅡ软件的使用; 2、进一步熟悉和掌握EDA设计流程; 3、学习简单组合、时序电路的EDA设计; 4、学习计数器中二进制码到BCD码的转换技巧; 5、学习实验装置上数码管的输出方法。 (二)设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现模可变计数器功能,具体要求如下: 1、设置一个按键控制改变模值,按键按下时模为10-99之间(具体数值自行确定) 的数,没按下时模为100-199之间(具体数值自行确定)的数; 2、计数结果用三位数码管十进制显示。 (三)主要仪器设备 3、微机1台 4、QuartusII集成开发软件 1套 5、EDA实验装置1套 (四)实验步骤 主要有三个模块 1:一个模20和模119的计数器

2:数码管的显示 3:BCD的调整 源程序: module count (clk,m,en,rst,a,sel,SG,d); input clk,m,en,rst; output [7:0] SG; output [2:0] sel; output a; (* synthesis, keep *) reg clk1; (* synthesis, keep *) wire [3:0] gw,sw,bw; /*(* synthesis, keep *) */reg [3:0]a; reg [11:0] q; reg [11:0] model; reg [7:0] cnt,SG; reg [2:0] sel; reg [0:0]d; output [0:0]d; always @(posedge clk) begin cnt=cnt+1; if (cnt==200) begin clk1=1'b1; cnt=0; end else clk1=1'b0; //200分频,CLK为数码管扫描频率,CLK1为计数频率 if (sel<2) sel=sel+1; else sel=0; end //sel为数码管选择 always @(sel) begin case (sel) 0: a=bw; //0数码管为百位 1: a=sw; //1数码管为十位 2: a=gw; //2数码管为个位 default: a=0; endcase case (a) 0:SG<=8'b00111111; 1:SG<=8'b00000110; 2:SG<=8'b01011011; 3:SG<=8'b01001111; 4:SG<=8'b01100110; 5:SG<=8'b01101101; 6:SG<=8'b01111101; 7:SG<=8'b00000111; 8:SG<=8'b01111111; 9:SG<=8'b01101111; //8段译码值 endcase end always @(m) if (m) model=12'b000000100000; //模值20 assign gw=q[3:0]; assign sw=q[7:4]; assign bw=q[11:8];

倒计时器课程设计(带程序版)

湖南科技大学 课程设计报告课程名称:单片机原理及应用课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409218 08409224 起止日期:2010年12月20日~ 2010年12月31日指导教师: 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩 评 定 项目 权 重 成绩 1、设计过程中出勤、学习态度等方面0.2 2、课程设计质量与答辩0.5 3、设计报告书写及图纸规范程度0.3 总成绩 教研室审核意见: 教研室主任签字:年月日 教学系审核意见: 主任签字:年月日

基于STC89C52的倒计时器设计 1 设计任务与要求 本项目是由单片机执行设定的程序,在数码管显示倒计时的时间,且能由单片机接受矩阵键盘设定的时间。由4位数码管做显示,能够实现5种倒计时模式,通过控制按键进行选择9999s-0s、999s-0s、99s-0s、9s-0s、开始值由人工输入-0s。 2 方案设计与论证 近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。现在,在许多领域中,定时器得到了广泛的应用,比如在体育比赛中的计时器;安全措施中的定时报警器;游戏中的倒计时;维持秩序的交通信号灯;红路灯,交通控制器,闹钟等等。可见倒计时器在社会中的重要性。当然,设计倒计时器的方法很多,以下是两个设计方案。 方案一:基于AT89S52单片机的LCD液晶显示模块1602显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,用按键来进行倒计时初值的选择,LCD1602液晶作为显示模块来显示倒计时间。 方案二:基于AT89S52单片机的数码管显示模块显示的倒计时器。主要是以单片机来控制,用按键来设定倒计时初始时刻的值,按键来进行倒计时初值的选择。此电路对于倒计时器中的LED数码管示器来说,采用以软件为主的接口方法,即不使用专门的硬件译码器,而采用软件程序进行译码。 方案比较:通过以上两个方案,我们发现,方案二总体比方案一好。首先方案一虽然硬件电路简单,但造价较高,且在编写程序实现所要求的功能时较难,而方案二所用的显示模块是比较熟悉的数码管,编写程序是相对容易,且电路造价不高,因此,综合考虑之后决定采用方案二。

课程设计报告-篮球30秒倒数计时器

课程设计报告-篮球30秒倒数计时器

信电学院 课程设计说明书(2011 /2012学年第二学期) 课程名称:电子技术课程设计 题目:篮球30秒倒数计时器 专业班级:自动化3班 学生姓名:程江峰 学号:100410317 指导教师:马志钢 设计周数:两周 课设成绩: 2012年7月5日

目录 一、课程设计摘要-------------------------------------------- 二、课程设计正文 1、课程设计任务与要求 2、方案设计(系统控制电路框图及说明) 3、元器件详细介绍 4、系统原理图、印制板图及其说明 5、安装、调试及性能测试与分析 6、课程设计总结及心得 三、课程设计总结 四、附录(PCB图)

五、参考文献 一、课程设计摘要 通过电子技术课程设计的综合训练,培养独立思考、分析问题、解决问题的能力,培养工程实践能力、创新能力和综合设计能力。根据所学模拟电子技术、数字系统与逻辑设计的理论,对模拟电子线路、数字电子线路以及模拟与数字综合电子线路进行设计、安装与调试。 定时电路是数字系统中的基本单元电路,它主要由计数器和振荡器组成。定时电路主要利用分立元件,中规模集成器件555定时器。用555定时器实现的定时电路主要应用单稳态触发器原理,实现定时器的功能。在实际工作中,定时器的应用场合很多,例如,篮球比赛规则中,队员持球时间不能超过30秒,就是定时电路的一种具体应用。 篮球竞赛30秒定时器电路主要利用555定时器产生时钟脉冲,触发计数器进行从30至00倒计数,并将计数结果通过译码电路和数码管显示,当计数器减至00时,报警电路进行报警。 二、课程设计正文 1、课程设计任务与要求30秒计时功能,两位数字显示,计时间隔为1秒。完成硬件制作实现30秒减计数,每次减计时结束后,蜂鸣器报警提示,数码管显示00;电路需设置外部开关,可使定时器直接复位,并具有启动计时、暂停/连续计时功

基于单片机的倒计时器(计数器)课程设计)

基于单片机的倒计时器(计数器)课程设计) 湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名:王维 指导老师:张晓虎 完成时间: 报告成绩: 评阅意见: 评阅教师日期 I 倒 计 时 器 设 计 II 倒计时器 目录目录1 摘要3

ABSTRACT ........................................................... (4) 第一章设计要求与方案确 定 (5) 1.1设计意 义 (5) 1.2 设计要 求 (5) 1.3方案确 定 (5) 第二章硬件电路6 2.1 单片机概 述 (6) 2.1.1 单片机基 础 (6) 2.1.2单片机与单片机系 统 (7) 2.1.3 单片机的产生与发 展 (7) 2.2 MCS-51 系列单片机介 绍 (8) 2.2.1 80C51 芯片介 绍 (8)

2.2.3 最小系 统 (9) 2.2.4 定时与中断的概 念 (10) 2.4LED显示电路设计与器件选 择 (12) 2.4.1.LED显示器的选 择 (13) 2.4.2LED驱动芯片选 择 (13) 2.5按键电路设 计 (13) 2.6蜂鸣器电路的设 计 (14) 第三章倒计时器的设计15 3.1倒计时器系统设计方案及框 图 ........................................... 15 3.2程序设 计 (15) 3.2.1主程序设 计 (15) 3.2.2倒计时模块设 计 (17) 3.2.3键盘扫描数码管显示程 序 ............................................ 17 1

99倒计时课程设计讲解 实用

1苏—________________________________________________________________________ 1 2整体设计方案 (2) 3各单元的介绍 (3) 3.1最小应用系统 (3) 3.1.1 AT89C51 的介绍 (3) 3.1.2时钟电路的介绍 (5) 3.1.3复位电路 (7) 3.2锁存器74LS273的介绍 (8) 3.3数码管显示介绍 (9) 4 99倒计时主电路图 (10) 5程序流程图 (12) 6 99倒计时软件程序设计 (13) 7总拮 (15) 8说辞 (16)

9参考文献 (17)

1引言 目前单片机的应用越来越广泛,实际上,单片机得几乎在人类生活的各个领域都表现出强大的什么生命力,使计算机的应用范围达到了前所未有的广度和深度。单片机的出现尤其对电路工作者产生了观念上的冲击。在过去经常采用模拟电路、数字电路诗实现的电路系统,现在相当大一部分可以用单片机予以实现,传统的电路设计方法已演变成软件和硬件相结合的设计方,而且许多电路设计问题将转化为纯粹的程序设计问题。 INTEL公司从其生产单片机开始发展到现在,大体上可分为3大系列:MCST8 系列、M C S~51系列和M C S_96系列。M C S~51系列是8为高档单片机系列,也是我国目前应用最为广泛的一种单片机系列。单片机是把CPU、内存储器和某些W接口 电路集成在一块大规模芯片上的微型计算机。单片机的优点很多,具有体积小,成本低,抗干扰能力强,面向控制,可以实现分机各分布控制等。在进行99倒计时的课程设计中就是利用单片机的上述优点,采用的是AT89C51型号的单片机。 99秒倒计时器主要是用在精确时间上。它是通过一个按键来控制它的开和停,在控制过程中有一个暂停开关和一个复位按钮,它能及时有效的记录瞬间时间,它在我们的生活中的应用很广泛。

任意时间倒计时计数器的设计

任意时间倒计时计数器的设计 摘要:本设计实现任意秒数倒计时的功能,首先利用555定时器连接电阻和电容改装成多谐振荡器,将输入脉冲经电路转换输出矩形波,并改变电容和电阻的参数使输出周期为1秒。计数部分用74LS192芯片来实现,74LS192芯片是8421码计时的,符合任意几十秒读数的需要。译码部分采用74LS48芯片,74LS48是把8421BCD码经过内部作用和电路“翻译”成七段输出,然后直接推动LED,显示十进制数。此设计功能完善,可以直接清零,启动和暂停/连续计时, 进而实现断点计时,同时还应用了七段数码管来显示时间。当计数器显示的数字递减到零的时候,会发出光电报警信号。其设计由计时模块、控制模块、以及译码显示模块3个部分组成。 关键词:计时器;报警;芯片模块化

The Design of Any Time Countdown Counter Abstract:The design implements the function of 30 seconds countdown , At first ,use a 555 timer concussion connected with resistor and capacitor converted into a multivibrator, which can inverter the input pulse into a circuit output square wave,and change the parameters of capacitance and resistance so that the output cycle is a second. The counting part is realized by 74ls192 chip,and 192 chip is timed at 8421 yards , which can meet the need of 30 seconds reading. Decoding part adopts 74ls48 chip, 74ls48 is at the 8421 BCD by internal function and circuit "translate" into seven period of output, then directly promote LED, and shows a decimal number.The function of this design is perfect, it can directly reset, start and stop/continuous time, then realize breakpoint time, at the same time it also uses seven digital tube to display time. When the counter of the digital display diminishing to zero, it will have a photoelectric alarm signal. It is designed by hourly module, control module, and decode display module 3 parts. Keywords: The timer photoelectric; Alarm; Modular

变模计数器

数字电路课程设计报告 ——变模计数器 课程设计任务书 一﹑题目:16进制计数器, 计数器的计数模值可变,计数模M从2~16变化,用多路开关控制M的选择。 原始条件:该系统由计数模值设置开关电路、变模控制模块、16进制计数器、显示驱动电路构成。 二、要求完成设计的主要任务如下:(变模计数器输出状态表如表2-1所示。 电路设计框图如图2-1所示。)

图2-1变模计数器设计框图 一、实验目的 1、设计变模计数器,掌握变模计数器的设计方法,实现二~十六进 制之间的转换。 2、掌握中规模集成计数器74LS85的逻辑功能和使用方法 3、计数器的计数模M值可变,多路开关控制M的选择

二、设计理念 变模开关控制电路: 设置三个开关来控制切换A、B、C三个输入端,就可以实现001到111的进制实现功能。 变模控制电路: 计数器四位输出送4-16译码器,译码器输出接16选1数据选择器,数 据选择器控制端接模设置开关电路(拨码开关)。 显示驱动电路: 计数器输出送显示译码器,再送4段LED显示器。 三、实验原理 通过161计数器计数输出送给4-16译码器,再送给选择器,最后显示驱动。通过计数器的计数模值可变,计数模M从2~16变化,用多路控 制M的选择。 根据变模计数器的引脚图,电路图(如下图所示)。进行变模计数器的设计。 四、实验设备 74LS85 一个 74LS161 一个 74LS00 一个 导线若干 面包板一个

电路板一个 电源线一个 五、实验内容 (1)电路图: 手绘电路图(2)各芯片的引脚图

74LS00引脚图 74LS85引脚图

设计任意进制计数器

设计任意进制计数器 一、实验目的 掌握中规模集成计数器的使用方法及功能测试方法。 二、实验内容及要求 采用(74LS192)复位法或预置数法设计一个三位十进制计数器。要求各位同学设计的计数器的计数容量是自己学号的最后三位数字。 三、设计过程 74LS192是中规模同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列如图所示。74LS192(CC40192)的功能如下表所示。 1234A B C D 4 3 2 1 D C B A 161514131211109 Vcc D CR BO CO LD D D D Q Q CP CP Q Q GND 12345678 D 1 1 023 3 u2 74LS192 CR:清除端CP u:加计 数端 LD :置数端CP D:减计 数端 CO :非同步进位输出端 BO :非同步借位输出端 D3、D2、D1、D0:数据输入端 Q3、Q2、Q1、Q0:输出端 74LS192引脚排列图 表74LS192(CC40192)的功能 输入端输出端功能 CR LD CP u CP D D3 D2 D1 D0 Q3 Q2 Q1 Q0 1 ×××××××00 0 0 清零 0 0 ×× d c b a d c b a 置数 0 1 ↑ 1 ××××0000~1001加计数1001时CO=0 0 1 1 ↑××××1001~0000减计数0000时BO=0 用M进制集成计数器可以构成N(任意)进制的计数器。通常用反馈清零 法和反馈置数法。当计数器的计数N>M时,则要用多片M进制计数器构成。 其计数规律为:当低位计数器没有达到计数的最大值时,如74LS192的1001时, 其高位芯片应处于保持状态,只有当低位芯片计数达到最大值时,给相邻的高位 芯片计数器发一个信号,使其脱离保持状态,进入计数状态。现以233为例为计 数容量进行设计。由于233为三位数,因此需用三块74LS192。 1、清零法: CR(R D)=(Q1Q0)百(Q1Q0)拾(Q1)个 初态:0000 终态:233-1=232即:0010 0011 0010 状态转换图:(略)

单片机倒计时秒表课程设计

单片机倒计时秒表 课程设计

课程设计说明书 课程名称:单片机技术 设计题目:倒计时数字秒表设计院系: 学生姓名:张三 学号: ********* 专业班级: *********** 指导教师:李四 年月日

课程设计任务书 倒计时秒表

摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。 关键词:倒计时 AT89S52 74LS47 数码管 目录

1.设计背景 (5) 1.1、设计课题的提出 (5) 1.2、设计作用及意义 (5) 2.设计方案 (6) 2.1、可行方案选择 (6) 2.2、可行方案比较 (6) 3.方案实施 (7) 3.1、硬件电路的实施 (7) 3.2、软件程序的实施 (11) 4.结果与结论 (13) 4.1、Proteus仿真运行结果 (13) 4.2、结论 (14) 5.收获与致谢 (14) 6.参考文献 (14) 7.附件 (15) 7.1、附件一(整体电路图) (15) 7.1、附件二(元件清单图) (16) 7.1、附件三(程序) (17) 7.1、附件四(运行实物图) (22) 1. 设计背景

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

《设计任意进制计数器》的实验报告

实验八设计任意进制计数器 一、实验目的 掌握中规模集成计数器的使用方法及功能测试方法。 二、实验内容及要求 采用(74LS192)复位法或预置数法设计一个三位十进制计数器。要求各位同学设计的计数器的计数容量是自己学号的最后三位数字。 三、设计过程 用M进制集成计数器可以构成N(任意)进制的计数器。通常用反馈清零法和反馈置数法。当计数器的计数N>M时,则要用多片M进制计数器构成。其计数规律为:当低位计数器没有达到计数的最大值时,如74LS192的1001时,其高位芯片应处于保持状态,只有当低位芯片计数达到最大值时,给相邻的高位芯片计数器发一个信号,使其脱离保持状态,进入计数状态。现以233为例为计数容量进行设计。由于233为三位数,因此需用三块74LS192。 1、清零法: CR(R D)=(Q1Q0)百(Q1Q0)拾(Q1)个 初态:0000 终态:233-1=232即:0010 0011 0010 状态转换图:(略)

2、置数法:由于74LS192是具有异步清零、置数功能的十进制计数器,因此保留哪233种状态,方法有多种。下图是其中两种置数法。犹以最后一种使用器件最少,接线最为简单。 方案一: 方案三: LD=(Q1Q0)百(Q1Q0 )拾(Q2Q0)个(或LD=CO) 初态:0000(或1000-332=668) 终态:332-1=331即:0011 0011 0001(或999)

四、实验用仪器、仪表 数字电路实验箱、万用表、74LS192、74LS00、74LS20、74LS08等 五、实验步骤 ①清零法: 1.检查导线及器件好坏(即加上电源后,按74LS192的功能表进行检测)。 2.按上图连接电路。LD、CP D分别接逻辑开关并置为高电平,百位(74LS192(3))、拾位、个位的Q 、Q2、Q1、Q0分别接发光二极管或数码管,计数脉冲接手动或1Hz 3 时钟脉冲。检查无误后接通电源。 3.加入CP进行测试并检查结果是否正确,如有故障设法排除。 4.结果无误后记录数据后拆线并整理实验设备。 实验证明,实验数据与设计值完全一致。设计正确。 ②置数法: 1.检查导线及器件好坏(即加上电源后,按74LS192的功能表进行检测)。 分别接逻辑开关并置为高电平,百位(74LS192(3))、 2.按上图连接电路。CR、CP D 拾位、个位的Q 、Q2、Q1、Q0分别接发光二极管或数码管,计数脉冲接手动或1Hz 3 时钟脉冲。检查无误后接通电源。

数电课程设计 篮球竞赛30秒计时器

数字电子技术课程设计 学院: 班级: 姓名: 学号:

23509444.doc 摘要 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键字计时器光电报警模块化 第 2 页共25 页

目录 前言 (4) 第一章计数器概述 (5) 1.1 计时器的特点及应用 (5) 1.2 设计任务及要求 (6) 第二章电路设计原理及单元模块 (7) 2.1 设计原理 (7) 2.2 设计方案 (8) 2.3 单元模块 (10) 2.3.1 8421BCD码递减计数器模块 (10) 2.3.2 时钟模块 (13) 2.3.3 辅助时序控制模块 (14) 2.3.4 译码显示模块 (17) 第三章安装与调试 (20) 3.1 电路的安装 (20) 3.2 电路的调试 (20) 第四章实验体会 (21) 结论 (23) 参考文献 (24) 附录 (25) 第 3 页共25 页 3

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

相关主题
文本预览
相关文档 最新文档