当前位置:文档之家› 数字电路_第八章答案

数字电路_第八章答案

数字电路_第八章答案
数字电路_第八章答案

8 数字系统设计基础习题解答 1

自我检测题

[T8.1] 什么是数字系统?

数字系统是指对数字信息进行存储、传输、处理的电子系统。只要包括控制单元和数据处理单元就称为数字系统。

[T8.2] 说明自顶向下的设计方法及步骤。

首先从系统设计入手,在顶层将整个系统划分成几个子系统,然后逐级向下,再将每个子系统分为若干功能模块,每个功能模块还可以继续向下划分成子模块,直至分成许多最基本模块实现。

练习题

[P8.1] 采用“自顶向下”设计方法设计一4位数字频率计,测量范围为0~9999Hz,假设被测信号为标准的方波信号。

解:(1)4位数字频率计的顶层原理图

AA[3..0]BB[3..0]CC[3..0]DD[3..0]

(2)底层功能模块的设计

①CNT10模块设计

根据顶层设计对CNT10模块的功能定义,其VHDL语言源程序编写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY cnt10 IS

PORT(clk:IN STD_LOGIC;

clr:IN STD_LOGIC;

cs:IN STD_LOGIC;

qq:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

8 数字系统设计基础习题解答 2

co:OUT STD_LOGIC

);

END cnt10;

ARCHITECTURE one OF cnt10 IS

BEGIN

PROCESS(clk,clr,cs)

BEGIN

IF (clr=‘1’) THEN

qq<=“0000”;

ELSIF (clk'EVENT AND clk=‘1’) THEN

IF (cs=‘1’) THEN

IF (qq=9) THEN

qq<=“0000”;

ELSE

qq<=qq+1;

END IF;

END IF;

END IF;

END PROCESS;

PROCESS(qq)

BEGIN

IF (qq=9) THEN

co<=‘0’;

ELSE

co<=‘1’;

END IF;

END PROCESS;

END one;

根据频率计的原理图,前级计数器的进位输出作为下一级计数器的时钟输入。由于计数器采用时钟的上升沿触发,因此,计数器模块的进位输出设为低电平有效,以免下级计数器提前进位。

②LOCK模块的设计

LOCK模块的功能是在锁存信号的上升沿将输入数据锁存到输出端,其VHDL语言源程序为:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

8 数字系统设计基础习题解答 3

ENTITY lock IS

PORT(clk:IN STD_LOGIC;

dd:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

qq:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END lock;

ARCHITECTURE one OF lock IS

BEGIN

PROCESS(clk,dd)

BEGIN

IF (clk'EVENT AND clk=‘1’) THEN

qq<=dd;

END IF;

END PROCESS;

END one;

③CNT12模块的设计

CNT12模块的功能比较简单,主要实现十二进制加法计数器,其VHDL语言源程序为:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY cnt12 IS

PORT(clk: IN STD_LOGIC;

qq : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END cnt12;

ARCHITECTURE one OF cnt12 IS

BEGIN

PROCESS(clk)

BEGIN

IF (clk'EVENT AND clk='1') THEN

IF (qq=11) THEN

qq<=“0000”;

ELSE

qq<=qq+1;

END IF;

END IF;

8 数字系统设计基础习题解答 4

END PROCESS;

END one;

④CODE模块的设计

CODE模块对12进制计数器的状态进行译码产生控制信号。其VHDL语言源程序为:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY code IS

PORT(dd:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

cs:OUT STD_LOGIC;

clr:OUT STD_LOGIC;

lock:OUT STD_LOGIC

);

END code;

ARCHITECTURE one OF code IS

BEGIN

PROCESS(dd)

BEGIN

IF (dd=0) THEN

clr<=‘1’;

ELSE

clr<=‘0’;

END IF;

IF (dd=11) THEN

lock<=‘1’;

ELSE

lock<=‘0’;

END IF;

IF ( (dd > 0 ) AND (dd < 9 )) THEN

cs<=‘1’;

ELSE

cs<=‘0’;

END IF;

END PROCESS;

END one;

[P8.2] 十字路口的示意图如图P8.2-1所示。在主干道和支干道上两个方向上都安装红、黄、绿三色信号灯。Cx和Cy分别是安装在主干道和支干道上的传感器,输出高电平说明有车需要通过。技术要求为:

8 数字系统设计基础习题解答 5

(1)如果只有一个方向有车时,则保持该方向畅通;当两个方向都有车时,主干道和支干道交替通行;

(2)在只有主干道有车时,主干道亮绿灯,支干道亮红灯;当只有支干道有车时,主干道亮红灯,支干道亮绿灯;

(3)当两个方向都有车时,则轮流亮绿灯和红灯。主干道每次亮绿灯40s ,支干道每次亮绿灯20s ,在由绿灯转红灯之间亮5s 的黄灯。

图P8.2-2所示为交通灯控制系统结构框图。控制系统由控制器和定时器两部分组成,定时器用于亮灯时间控制。CNT 是定时的值,LD 是定时值的同步预置信号,高电平有效。ST 是定时器状态信号,当定时结束时,ST 输出为1。clk 是周期为秒的时钟信号,reset 是复位信号,低电平有效。

CNT

图P8.1-1 十字路口示意图 图8.2-2 交通灯控制系统结构图

根据交通灯控制系统的技术要求和结构图,完成以下内容: (1)画出控制器的ASM 图;

(2)用VHDL 语言对控制器和定时器进行描述; (3)设计交通灯控制系统的顶层原理图; (4)完成实际电路的制作。 解:(1)顶层设计traffic 的VHDL 语言程序 library ieee ;

use ieee.std_logic_1164.all ; use ieee.std_logic_signed.all ;

entity traffic is

port (reset ,clk ,cx ,cy :in std_logic ;

Ra ,Ya ,Ga ,Rb ,Yb ,Gb :out std_logic ); end ;

architecture one of traffic is

component control port (reset ,clk ,ST ,cx ,cy :in std_logic ; Ra ,Ya ,Ga ,Rb ,Yb ,Gb ,LD :out std_logic ;

8 数字系统设计基础习题解答 6

CNT:OUT integer range 0 to 63);

end component;

component counter port(reset,clk,LD:in std_logic;

CNT:in integer range 0 to 63;

ST:out std_logic);

end component;

signal ST,LD:std_logic;

signal CNT:integer range 0 to 63;

begin

u1:control

port map(reset,clk,ST,cx,cy,Ra,Ya,Ga,Rb,Yb,Gb,LD,CNT);

u2:counter

port map (reset,clk,LD,CNT,ST);

end;

(2)底层模块counter的VHDL语言程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_signed.all;

entity counter is

port(reset,clk,LD:in std_logic;

CNT:integer range 0 to 63;

ST:out std_logic);

end ;

architecture one of counter is

signal Q:integer range 0 to 63;

begin

process(reset,clk)

begin

if(reset='0')then

Q<=40;

Elsif(clk'event and clk='1')then

If(LD='1')then

Q<=CNT;

Elsif(Q/=0)then

Q<=Q-1;

end if;

end if;

8 数字系统设计基础习题解答7

end process;

process(Q)

begin

if(Q=0)then

ST<='1',

else

ST<='0';

end if;

end process;

end;

(3)底层模块control的VHDL语言程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_signed.all;

entity control is

port(reset,clk,ST,cx,cy:in std_logic;

Ra,Ya,Ga,Rb,Yb,Gb,LD:out std_logic;

CNT:OUT integer range 0 to 63);

End;

architecture one of control is

constant T1:integer:=40;

constant T2:integer:=20;

constant T3:integer::=10;;

type state_type is (S0,S1,S2,S3);

signal state:state_type;

signal RYG:std_logic_vector(5 downto 0);

begin

process(reset,clk)

begin

if (reset='0')then state<=S0;

elsif(clk'event and clk='1')then

if (ST='1')THEN

case state is

when s0=>if(cy='0')then state<=S0;

else state<=S1;

end if;

when S1=> state<=S2;

when S2=> if(cx='0')then state<=S2;

8 数字系统设计基础习题解答8

else state<=S3;

end if;

when S3=>state<=S0;

end case;

end if;

end if;

end process;

process(state)

begin

case state is

when S0=>RYG<="001100";

when S1=>RYG<="010100";

when S2=>RYG<="100001";

when S3=>RYG<="100010";

end case;

end process;

process(state,cx,cy)

begin

case state is

when S0=>if (cy='0')then CNT<=T1;

else CNT<=T3;

end if;

when S1=>CNT<=T2;

when S2=>if(cx='0')then CNT<=T2;

else CNT<=T3;

end if;

when S3=>CNT<=T1;

end case;

end process;

LD<=ST;

Ra<=RYG(5);Ya<=RYG(4);Ga<=RYG(3);

Rb<=RYG(2);Yb<=RYG(1);Gb<=RYG(0);

End;

模拟与数字电子电路基础作业答案5

作业5 截止日期:2015-5-25 要求:写出步骤,独立完成 内容:第八章、第十章 1.课本第八章练习8.2。(20分) 提示:参考例8.1。 参考解答:i DS=K/2*(V GS-V T)2=K/2*(V DS-V T)2 i ds=K/2*2*(V DS-V T)*v ds=K(V DS-V T)*v ds 2.课本第八章练习8.6。(20分) 提示:参考8.2.2和8.2.4节,图8.19。 参考解答:v O=V S-R L*K/2*(V GS-V T)2=V S-R L*K/2*(v1-V T)2 在v1=V1时的小信号模型如下: 所以:1)r o=R L; 2)R TH=R L,U TH=-R L*K(V1-V T)*vi 3)r i=

3.课本第八章问题8.2的a, b, c三小题。(20分) 提示: 参考解答:i DS=K/2*(V GS-V T)2 ;v IN=V GS+v OUT ; V GS=v IN-v OUT i DS=K/2*(V GS-V T)2=K/2*(v IN-v OUT-V T)2 ids=K/2*2*(V IN-V OUT-V T)=K(V IN-V OUT-V T)*vin;所以g m=K(V IN-V OUT-V T) vout=ids*R=RK(V IN-V OUT-V T)*vin;vout/vin=RK(V IN-V OUT-V T) 4.课本第十章练习10.16。 提示:参考10.1.3小节。 参考解答:i R1=i R2=i C;v2=R2*i R2; V1=(R1+R2)*i C+V C=(R1+R2)*C*dV C/dt+V C; V C=V1(1-e-t/((R1+R2)*C))=6(1-e-t/0.009)=6(1-e-1000t/9) V2=R2*i R2=R2*i C=R2*C*dV C/dt=2000*3*10-6*6*(-e-1000t/9*(-1000/9))=4e-1000t/9 5.课本第十章练习10.24。假设RC时间常量的值很小。 提示:参考10.7。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电路答案大全(DOC)

数字电路试卷答案大全 试卷A 一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共 20分) 1.将十进制数(18)10转换成八进制数是 [ ] ① 20 ② 22 ③ 21 ④ 23 2. 三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 [ ] ① m2 ② m5 ③ m3 ④ m7 3.一片64k ×8存储容量的只读存储器(ROM ),有 [ ] ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线 4.下列关于TTL 与非门的输出电阻描述中,正确的是 [ ] ①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻 5.以下各种ADC 中,转换速度最慢的是 [ ] ① 并联比较型 ② 逐次逼进型 ③ 双积分型 ④ 以上各型速度相同 6. 关于PAL 器件与或阵列说法正确的是 [ ] ① 只有与阵列可编程 ② 都是可编程的③ 只有或阵列可编程 ④ 都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为 [ ] ① 无穷大 ② 约100欧姆 ③ 无穷小 ④ 约10欧姆 8.通常DAC 中的输出端运算放大器作用是 [ ] ① 倒相 ② 放大③ 积分 ④ 求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ] ① 16 ② 32 ③ 162 ④ 216 10.一个64选1的数据选择器有( )个选择控制信号输入端。 [ ] ① 6 ② 16 ③ 32 ④ 64 二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。) 1.已知一个四变量的逻辑函数的标准最小项表示为 ()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,那么用最小项标准表 示 =*F ,以及=F ,使用最大项标准表示

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A.5 B.6 C.10 D.50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A.译码器 B.编码器 C.全加器 D.寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A.1==K J B.Q J =,Q K = C.Q J =,Q K = D.0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A.没有触发器 B.是否有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A.1 B.2 C.4 D.8 10、555定时器D R 端不用时,应当 。 ( ) A.接高电平 B.接低电平 C.通过F μ01.0的电容接地 D.通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电路_第八章答案

8 数字系统设计基础习题解答 1 自我检测题 [T8.1] 什么是数字系统? 数字系统是指对数字信息进行存储、传输、处理的电子系统。只要包括控制单元和数据处理单元就称为数字系统。 [T8.2] 说明自顶向下的设计方法及步骤。 首先从系统设计入手,在顶层将整个系统划分成几个子系统,然后逐级向下,再将每个子系统分为若干功能模块,每个功能模块还可以继续向下划分成子模块,直至分成许多最基本模块实现。 练习题 [P8.1] 采用“自顶向下”设计方法设计一4位数字频率计,测量范围为0~9999Hz,假设被测信号为标准的方波信号。 解:(1)4位数字频率计的顶层原理图 AA[3..0]BB[3..0]CC[3..0]DD[3..0] (2)底层功能模块的设计 ①CNT10模块设计 根据顶层设计对CNT10模块的功能定义,其VHDL语言源程序编写如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt10 IS PORT(clk:IN STD_LOGIC; clr:IN STD_LOGIC; cs:IN STD_LOGIC; qq:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

8 数字系统设计基础习题解答 2 co:OUT STD_LOGIC ); END cnt10; ARCHITECTURE one OF cnt10 IS BEGIN PROCESS(clk,clr,cs) BEGIN IF (clr=‘1’) THEN qq<=“0000”; ELSIF (clk'EVENT AND clk=‘1’) THEN IF (cs=‘1’) THEN IF (qq=9) THEN qq<=“0000”; ELSE qq<=qq+1; END IF; END IF; END IF; END PROCESS; PROCESS(qq) BEGIN IF (qq=9) THEN co<=‘0’; ELSE co<=‘1’; END IF; END PROCESS; END one; 根据频率计的原理图,前级计数器的进位输出作为下一级计数器的时钟输入。由于计数器采用时钟的上升沿触发,因此,计数器模块的进位输出设为低电平有效,以免下级计数器提前进位。 ②LOCK模块的设计 LOCK模块的功能是在锁存信号的上升沿将输入数据锁存到输出端,其VHDL语言源程序为: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;

数字电路第六章练习带答案

第六章(选择、判断、填空共19题) 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器 2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。() 8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是产品。

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

数字电路答案大全

浙江省2002年4月高等教育自学考试 数字电路试题 课程代码:02344 一、填空题(每小题2分,共20分) 1.(3AD.08)16=(_________)10=(_____)8 2.CMOS的最基本的逻辑单元是由_________和_________按照互补对称形式连接起来构成 的。 3.按照数据写入方式特点的不同,ROM可分为掩膜ROM,_________,_________。 4.基本RS触发器的约束条件,由与非门构成的为_________,由或非门构成的为________。 5.二值逻辑中,变量的取值不表示_________,而是指______。 6.开关的开通时间t on是指开关由_________状态转换到_____状态所需的时间。 7.描述时序电路的逻辑表达式为_________、_____和驱动方程。 8.施密特触发器具有_________特性,定义为参数△U T=_________。 9.TTL反相器输入接电阻R i>2.5kΩ时,输出电压u0为_________,通常把2.5kΩ电阻称为 _________。 10.用组合电路构成多位二进制数加法器有_________和_____二种类型。 二、单项选择题(在每小题的四个备选答案中,选出一个正确答案,并将正确答案的序号填在 题干的括号内。每小题2分,共20分) 1.若ABCDEFGH为最小项,则它有逻辑相邻项个数为( ) A. 8 B. 82 C. 28 D. 16 2.半导体二极管截止时,外加电压u D为( ) A. <1.4v B. <1v C. <0.7v D. <0.5v 3.如果编码0100表示十进制数4,则此码不可能是( ) A. 8421BCD码 B. 5211BCD码 C. 2421BCD码 D. 余3循环码 4.用或非门构成基本触发器,发生竞态现象时,RS变化为( ) A. 00→11 B. 01→10 C. 11→00 D. 10→01 5.构成移位寄存器不能采用的触发器为( ) A. R-S型 B. J-K型 C. 主从型 D. 同步型 6.555定时器构成的单稳态触发器输出脉宽t w为( ) A.1.3RC B.1.1RC C.0.7RC D.RC 7.A/D转换器中,转换速度最高的为( )转换。 A. 并联比较型 B. 逐次渐近型 C. 双积分型 D. 计数型 8.TTL参数由大到小排列正确的是( ) A. U OHmin、U IHmin、U ILmax、U OLmax B. U IHmin、U OHmin、U OLmax、U ILmax C. U OHmin、U IHmin、U OLmax、U ILmax D. U IHmin、U OHmin、U ILmax、U OLmax 9.4位集成数值比较器至少应有端口数( )个。 A. 18 B. 16 C. 14 D. 12 10.以下PLD中,与、或阵列均可编程的是( )器件。 A. PROM B. PAL C. PLA D. GAL 三、分析题(1、2、3题各5分,4、5、6、7题各6分,共39分) 1.用公式和定理化简

通用数字电路与数字电子技术课后答案第七章.doc

第七章 时序逻辑电路 1.电路如图P7.1所示,列出状态转换表,画出状态转换图和波形图,分析电路功能。 图P7.1 解: (1)写出各级的W.Z 。 D 1=21Q Q ,D 2=Q 1,Z=Q 2CP ( 2 ) 列分析表 ( 3 ) 状态转换表 (4 图7.A1 本电路是同步模3计数器。 2. 已知电路状态转换表如表P7.1所示,输入信号波形如图P7.2所示。若电路的初始状态为Q2Q1 = 00,试画出Q2Q1的波形图(设触发器的下降沿触发)。 Q 2 Q 1 D 2 D 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 1 0 0 1 1 0 1 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 1 Q 2 Q 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 0 1 1 0 0 1 0 0 0 1 1 1 1 0 1 CP 表P7.1 X Q 2 Q 1 0 1 00 01 10 11 01/1 10/0 10/0 01/1 11/1 10/0 11/0 00/1 CP X Q 1 0 Q 2 0 Z CP Q 1 0 Q 1 0 Z ( b ) Q 2 Q 1 /Z ( a ) 01/0 11/1 10/1 00/0

解:由状态转换表作出波形图 3. 试分析图P7.3所示电路,作出状态转换表及状态转换图,并作出输入信号为0110111110相应的输出波形(设起始状态Q 2Q 1 = 00 )。 ( a ) ( b ) 解:(1)写W.Z 列分析表 J 1 = XQ 2 J 2 = X Z =12Q Q X K 1 = X K 2 =1Q X ( 2 ) 作出状态转换表及状态转换图 X Q 2 Q 1 0 1 00 01 00/1 00/1 10/1 11/1 X Q 2 Q 1 J 2 K 2 J 1 K 1 Q 2n+1 Q 1n+1 Z 0 0 0 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 0 1 1 0 CP X 图P7.3 CP X Q 1 0 Q 1 0 Z 图P7.A2 0 /1 0 /1 0 /1 1/1 1/1 0/1 01 11 00

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电路复习指导部分答案

第一章 逻辑代数基础 数制转换 1. 10= ( )2 =( )8=( )16 2. 16=( )2=( )10 3. 2=( )8=( )10 写出下列数的八位二进制数的原码、反码、补码 原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1 1.(-35)10= ( )原码= ()反码=()补码 2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码 3. (-110101)2 = ( )原码= ()反码=()补码 4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码 5. (-17)8=( )原码= ()反码=()补码 . 将下列三位BCD 码转换为十进制数 根据BCD 码的编码规则,四位一组展成对应的十进制数。 1. ()余3码 = (263)10 2. ()8421码= (596)10 分别求下列函数的对偶式Y ‘ 和反函数Y 1. D C B A Y ++=)( D C B A Y ?+?=)(' D C B A Y ?+?=)( 2. D A C B A Y ++= )()('D A C B A Y +??+= D C B A Y ?+?=)( 求下列函数的与非-与非式。 1. B A AB Y += B A AB Y ?= 将下列函数展成最小项之和的标准形式 1. Y=C B B A ?+? C B A C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()( 2. Q R S Y +=

数字电路实验报告第七章触发器

数字电路与逻辑设计基础实验 任课教师:陈志坚 实验名称:触发器(实验七) 云南大学信息学院 一、实验目的 ⑴学习触发器逻辑功能的测试方法 ⑵进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式二、实验器材 ⑴直流稳压电源、数字逻辑实验箱 ⑵74LS00、74LS74、74LS76 三、实验内容和仿真

1.基本RS触发器 基本RS触发器用与非门74LS00构成,按图7-1接好线。在输入端加上不同的信号,通过发光二极管观察电路输出端的状态。把结果填入自制的表中。 图7-1 基本RS触发器图7-2 D触发器的预置和清零功能 用带预置和清除的双D型触发器74LS74来测试上升沿触发集成D型触发器的逻辑功能。先按图7-2接线,在时钟脉冲的不同电平状态,改变预置端PRE 和清除端CLR的信号,通过发光二极管观察触发器的输出状态。把结果填入自制的表中。然后,按图7-3接线,测试D触发器的逻辑功能。 在D触发器的逻辑功能测试中,先将数据输入端D分别置入“0”或“1”,再用清零端CLR和预置端PRE分别将触发器的输出端清除为“0”或置位为“1”,最后再用单脉冲按钮向触发器的时钟输入端CLK发出脉冲的上升边沿和下降边沿,同时观察电路输出端Q的输出状态,把结果填入表7-1中。 注意:清零和置位之后,清除端CLK和预置端PRE必须置成“1”状态。 图7-3 D触发器逻辑功能测试7-4 JK触发器清除和预置功能的测试

D触发器仿真(1) D触发器仿真(2

1 3.JK触发器 用带预置和清除的双JK触发器74LS76来测试下降沿触发集成JK触发器的逻辑功能。先按图7-4接线,改变预置端PRE和清除端CLR的信号,通过发光二极管观察触发器Q输出端的输出状态。把结果填入自制的表中。然后,按图7-5接线,测试JK触发器的逻辑功能。 图7-5 JK触发器逻辑功能测试

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

(完整版)数字电路试题及答案

2010-2011学年第二学期期末考试试卷 课程名称 电子技术基础(数字部分) (A 卷) 考试方式: 闭卷 考试时间 100分钟 题 号 一 二 三 四 五 六 七 八 总分 总分人 得 分 ……………………………………………………………………………………………………………… 得 分 一、选择题(每小题2 分,一共10题,共20分) 评卷人 1 : 十进数6的余3码是( ) A.0110 B.1001 C.1100 D.1010 2 : 在决定一事件结果的所有条件中要求所有的条件同时满足时结果就发生,这种条件和结果的逻 辑关系是( ) A.与 B.或 C.非 D.异或 3 : 在下图的逻辑符号中,能实现F=AB 逻辑功能的是( ) 4 : 同或的逻辑表达式为: A:L AB AB =+ B: L AB AB =+ C:L AB AB =+ D: L A B =+ 5 : SR 锁存器是一种_______稳态电路。( ) A.无 B.单 C.双 D.多 6:对于基本SR 锁存器,当SR 锁存器状态不确定时 ________ ( ) A : S=0;R=0 B: S=0;R=1 C : S=1;R=0 D: S=1;R=1 7:R-S 型触发器的“R ”意指( )。

A.重复 B.复位 C.优先 D.异步 8:下列电路中,不属于组合逻辑电路的是( ) A .译码器 B .全加器 C .寄存器 D .编码器 9:一个8选一数据选择器的数据输入端有_______个。( ) A.1 B.2 C.8 D.4 10:组合逻辑电路消除竞争冒险的方法有( ) A.前级加电阻 B .在输出端接入滤波电容 C .后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 二、填空题(每空2 分,共30分) 1000000位 ,那么每位数据的占时间__________________; 2. 发光二极管构成的七段显示器有两种,分别是___________和_____________电路。 3. 三态门(TS 门)的输出状态除了高电平或低电平两种状态外,还有第三状态是 。 4. 触发器是一种对_________敏感的存储电路。 5. 当二进制数为负数时,其反码是_____________;当二进制数为正数时,其反码是________ 6. 组合逻辑电路不含具有__________功能的元件。 7. 二进制数111011.101转化成十进制数为__________.转化成八进制数为________. 8. 逻辑表达式:AB=AC;那么A=C 是否成立?________. 9. 2002个‘1’异或的结果是_____. 10.数据分配器就是带选通控制端的__________。 11.已知全加器的输入变量为A 、B 、C ,则全加器三变量之和S=(A ⊙B)⊕ ___。 ________位输出。 三、将下面逻辑表达式化简(每小题5 分,共10分) 1. L AB AC BC =++ 2. L AB AB AB AB =+++ 四、用卡诺图化简下面各式,并画出卡诺图(每小题10 分,共10分) 1. (,,,)(0,2,5,7,8,10,13,15)L A B C D m =∑ 五、综合题(每小题10 分,共30分)

数字电路第八章练习带答案

第八章(选择、判断、填空共23题) 一.选择题 1、PROM、PLA、PAL三种可编程器件中,()是可编程的。 A、PROM的或门阵列 B、PAL的与门阵列 C、PAL的与门阵列或门阵列 D、PROM的与门阵列 2、PAL是指()。 A、可编程逻辑阵列 B、可编程阵列逻辑 C、通用阵列逻辑 D、只读存储器 3、用PROM进行逻辑设计时,应将逻辑函数表达式表示成()。 A、最简“与—或”表达式 B、最简“或—与”表达式 C、标准“与—或”表达式 D、标准“或—与”表达式 4.可编程逻辑器件PLD,其内部均由与阵列和或阵列组成。其中,与阵列可编程的器件有() A、ROM B、PLA C、PAL D、GAL 5、用PLA进行逻辑设计时,应将逻辑函数表达式变换成()。 A、异或表达式 B、与非表达式 C、最简“与—或”表达式 D、标准“或—与”表达式 6、GAL16V8的最多输入输出端个数为()。 A、8输入8输出 B、10输入10输出 C、16输入8输出 D、16输入1输出 7、ispLSI器件中的GLB是指()。 A、全局布线区 B、通用逻辑块 C、输出布线区 D、输出控制单元 8、SYNARIO是一种() A、时钟信号 B、布线软件 C、通用电子设计工具软件 D、绘图工具 9、GAL是指()。 A、专用集成电路 B、可编程逻辑阵列逻辑 C、通用集成电路 D、通用阵列逻辑 10.在使用isp设计软件时,完成了()这一步之后,既可对器件进行下载编程。 A、设计输入 B、布局布线 C、逻辑仿真 D、JED文件生成 二、判断改错题(判断各题正误,正确的在括号内记“√”,错误的的在括号内记“×”并改正。) 1.PLA的与门阵列是可编程的,或门阵列是固定的。() 2.用PROM实现四位二进制到Gray码的转换时,要求PROM的容量为4 × 4b。()3.进行逻辑设计时,采用PLD器件比采用通用逻辑器件更加灵活方便。() 4.用GAL器件即可实现组合电路功能,又可实现时序电路功能。() 5.ispLSI系列器件是基于可编程数字开关的复杂PLD产品。() 三、填空题 1.PLD是由等四部分电路组成。根据阵列和输出结构的不同,PLD可分为

相关主题
文本预览
相关文档 最新文档