当前位置:文档之家› 多功能波形发生器设计

多功能波形发生器设计

多功能波形发生器设计
多功能波形发生器设计

目录

摘要 (3)

Abstract (4)

课题背景 (5)

1课题简介 (6)

1.1 课设目的 (6)

1.2 课设原理 (6)

1.3课设工具 (7)

2设计方案 (8)

2.1方案选择 (8)

2.2设计流程 (8)

3 仿真结果 (13)

3.1编译警告 (13)

3.2编译结果 (14)

3.3建立仿真文件 (14)

3.4仿真结果 (16)

3.5 RTL视图 (16)

4程序分析 (18)

4.1VHDL语言分析 (18)

4.2主要函数语句分析 (18)

5小结 (20)

6参考文献 (21)

7附录源程序代码 (22)

摘要

多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。

本文首先介绍了函数信号发生器的研究背景和DDS的理论。然后详尽地叙述了利用Verilog HDL描述DDS模块的设计过程,以及设计过程中应注意的问题。文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分Verilog HDL代码以及利用Modelsim仿真的结果。

文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器的大部分功能。包括由LCD显示和按键输入构成的人机界面和多种信号的发生。数字模拟转换器是BURR-BROWN 公司生产的DAC902。

该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。

关键词:VHDL D/A接口设计

Abstract

Multi function signal generator has become the most widely used in modern testing field of general instrument, and has represented one of the development direction of the source. Direct digital frequency synthesis (DDS) is a totaly digital frequency synthesis technology, which been put forward in the early 1970s. Using a look-up table method to synthetic waveform, it can satisfy any requirement of waveform produce. Due to the field programmable gates array (FPGA) with high integrity, high speed, and large storage properties, it can realize the DDS technology effectively, increase signal generator’s performance and reduce production costs.

Firstly, this article introduced the function signal generator of the research background and DDS theory. Then, it described how to design a DDS module by Verilog HDL, and introduced various signal occurs theory, method and the implementation process, Verilog HDL code and simulation results.

This paper also introduces the function of DE2 multimedia development platform, and completed most of the functions of multi-function signal generator on DE2 platform finally. Including the occurrence of multiple signal and the man-machine interface which composed by LCD display and key input. Digital-to-analog converters is DAC902, which produced by company BURR-BROWN.

This signal generator can output eight different kinds of signals, and the frequency of the output signal, phase and modulation frequency signal also can be modifyed.

Keywords: VHDL D/A Interface Design

课题背景

传统的信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间。它能够提供正弦波、余弦波、方波、三角波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,但是模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点。而且要产生的信号波形越复杂,则电路结构也会越复杂。同时还有两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。

现代科学技术的飞速发展对信号源提出了越来越高的要求。这些要求主要表现在高分辨率、高输出频率、任意波形等方面。此时传统的信号发生器已经无法满足要求。

直接数字频率合成(Direct Digital Synthesizer简称DDS)技术是一种新的全数字的频率合成原理,它从相位的角度出发直接合成所需波形。这种技术由美国学者J.Tiercy,M.Rader和B.Gold于1971年首次提出,但限于当时的技术和工艺水平,DDS技术仅仅在理论上进行了一些探讨,而没有应用到实际中去。但是随着电子技术的飞速发展,微处理器性能大幅提高,高速的DA以及随机存储器大量涌现,DDS技术已经变得很容易实现。它已广泛应用于通讯、雷达、遥控测试、电子对抗以及现代化的仪器仪表工业[2]等许多领域。将其与简单电路相结合就可以精确模拟仿真各种信号。

不论是在生产、实验还是在科研与教学上,多功能信号发生器都是用于仿真实验的最佳工具。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,多功能信号生器己成为测试仪器中至关重要的一类,因此开发多功能信号发生器具有重大意义。

1.课题简介

1.1 课设目的

(1)懂得利用FPGA芯片实现多种波形的产生方法

(2)懂得多功能波形发生器的结构组成

(3)懂得一种复杂FPGA电路的设计

1.2课设要求

设计一个多功能波形发生器。该波形发生器能产生正弦波、方波、三角波和由用户编辑的特定形状波形。具体要求如下:

(1)具有产生正弦波、方波、三角波、锯齿波4种周期性波形的功能。(2)用键盘输入编辑生成上述4种波形(同周期)的线性组合波形。(3)具有波形存储功能。

(4)输出波形的频率范围为100Hz~200kHz;重复频率可调,频率步进间隔≤100Hz。

(5)输出波形幅度范围0~5V(峰-峰值),可按步进0.1V(峰-峰值)调整。

(6)具有显示输出波形的类型、重复频率(周期)和幅度的功能。

(7)用键盘或其他输入装置产生任意波形。多功能波形发生器系统由以下四部分组成.输入部分、FPGA部分、DAC、显示部分组成。

图1 多功能波形发生器系统框图

1.3课设工具

本次设计是基于Altera公司的QuartusII软件。

Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII支持Altera的IP核,包含了LPM/MegaFuction宏功能模块库,使用它,可以简化设计的复杂性,加快设计速度。QuartusII平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。此外,QuartusII通过和DSP Builder工具与Matlab/SIMULINK相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统开发,集系统级设计、嵌入式软件设计、

可编程逻辑设计于一体,是一种综性的开发平台。

2设计方案

2.1方案选择

1、模拟锁相环实现

模拟锁相环技术是一项比较成熟的技术。应用模拟锁相环,可将基准频率倍频,或分频得到所需的频率,且调节精度可以做到相当高、稳定性也比较好。但模拟锁相环模拟电路复杂,不易调节,成本较高,且由于受模拟器件的影响,波形变换调节时间较长,输出波形的毛刺较多,因此模拟锁相环实现在低频(0~500KHz)信号发生系统中不是很好的方案。

2、直接数字频率合成实现

直接数字频率合成(DDFS)技术是经典的数字频率合成技术。由于数字量的可操作性远远高于模拟量,采用DDFS的优点在于频率精度高、波形调节方便、且输出波形毛刺少等。基于单片机的数字波形发生系统受单片机指令频率的限制,输出波形频率较低,而基于FPGA的波形发生系统就不存在这样的问题,其输出频带较单片机实现有很大的展宽。本系统设计选定以FPGA作为系统控制核心的直接数字频率合成实现方案。

2.2设计流程

首先启动Quartus II软件如图1所示:

图2 Quartus II启动界面

接着利用向导,建立一个新的工程。在File菜单中选择New Project Wizard 选项启动项目向导。如图2所示,分别指定创建工程的路径,工程名和顶层文件名。工程名和顶层文件可以一致也可以不同。一个工程中可以有多个文件,但只能有一个顶层文件。这里我将工程名取为:keshe。如图2所示。

图3创建工程界面

在图2所示界面点击NEXT按钮出现对话框如图3所示直接点next按钮然后在出现的界面中选择芯片出现如图4所示界面。点击File-new按键,选择VHDL 语言,如图5所示。即进入VHDL语言编辑区如图6所示。

图4创建工程的结束界面

图5芯片选择

图6创建VHDL File对话框

图7 VHDL语言编辑框

在图7所示的VHDL语言编辑框中依次输入分频器、四选一选择器、循环加法计数器等4钟计数器、七段译码器等功能模块的VHDL语言源程序。输入完成之后单击保存图标并输入相应的文件名。保存之后即可对源程序进行编译。如果编译成功则源程序完全正确,否则应该返回到出错处改正错误直至编译成功

为止。编译成功之后再进行波形仿真,从中得出相应数据及现象。

3仿真结果

3.1编译警告

输入相应源代码,点击,得出结果如图8

图8编译结果

程序在编译阶段出现了八个警告,以下面两个为例:

1.Warning (10492): VHDL Process Statement warning at mine4.vhd(232): signal "y" is read inside the Process Statement but isn't in the Process Statement's sensitivity list

2.Warning: Output pins are stuck at VCC or GND

Warning (13410): Pin "p180" is stuck at VCC

第一个警告,在process里作为被判断信号(if或者case后面的)或者赋值语句右端信号通常应该写在process的敏感信号表里。有些EDA工具不检查这个,可能会导致仿真结果与综合出来的电路不一致。实际上,综合工具在综合的时候会自动把这类信号添加到敏感信号表里,但仿真工具不会,而是完全按照代码体现的语意来仿真。

case y is --7段码译码

when 0 => lcd(7 downto 1)<="0000001";

when 1 => lcd(7 downto 1)<="1001111";

when 2 => lcd(7 downto 1)<="0010010";

when 3 => lcd(7 downto 1)<="0000110";

when 4 => lcd(7 downto 1)<="1001100";

when 5 => lcd(7 downto 1)<="0100100";

when 6 => lcd(7 downto 1)<="0100000";

when 7 => lcd(7 downto 1)<="0001111";

when 8 => lcd(7 downto 1)<="0000000";

when 9 => lcd(7 downto 1)<="0000100";

when others => lcd(7 downto 1)<="0000001";

end case;

end process;

综合时,信号y被添加到敏感信号表中。

第二个警告,认为引脚p180直接到正电源了。

port(clk : in std_logic; --时钟信号输入set, clr, up, down, zu, zd : in std_logic; --各个波形特征的调节触发信号posting : in std_logic; --任意波键盘置入信号u0,d0,sw : in std_logic; --方波A、B的切换sw,和方波B的幅度调节按键ss : in std_logic_vector( 3 downto 0 ); --档位选择信号

sss : in std_logic_vector( 4 downto 0 ); --波形选择信号

Data3, Data2, Data1,Data0 : in std_logic_vector(3 downto 0); --BCD码输入

p180 : out std_logic; --预留接口

lcd : out std_logic_vector(7 downto 0); --显示输出

shift : out std_logic_vector(3 downto 0); --位码输出

dd, a : out std_logic_vector( 7 downto 0)); --波形、幅度数据输出但实际上引脚p180是预留接口未用。

3.2编译结果

图9 编译结果

3.3建立仿真文件

在编译通过后,要建立后缀为vwf的仿真波形文件。执行菜单命令,选择new,再选择需要的Vector Waveform File,单击ok。在波形文件编辑方式下,右键选择insert添加信号节点,设置相应的参数。如下图所示。

图10 仿真波形文件建立

图11 仿真波形参数设置3.4仿真结果

仿真结果如下图所示

图12仿真波形3.5RTL视图

生成的RTL视图如下

图13 RTL视图

4程序分析

4.1VHDL语言分析

一个VHDL语言的设计程序描述的是一个电路单元,这个电路单元可以是一个门电路,或者是一个计数器,也可以是一个CPU,一般情况下,一个完整的VHDL 语言程序至少包括实体、结构体和程序包三个部分。实体给出电路单元的外部输入输出接口信号和引用信息,结构体给出了电路单元的内部结构和信号的行为特点,程序包定义在设计结构体和实体中将用到的常数、数据类型、子程序和设计好的电路单元等。

第一部分是程序包,程序包是用VHDL语言编写的共享文件,定义在设计结构体和实体中将要用到的常数、数据类型、子程序和设计好的电路单元等,放在文件目录名称为IEEE的程序包库中。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

第二部分是程序的实体,定义电路单元的输入/输出引脚名称。程序的实体名称可以任意取,但必须与VHDL程序的文件名称相同。实体的标示符是ENTITY,实体以ENTITY开头,以END结束。

ENTITY fulladder IS

PORT(a,b,Ci:in std_logic;

Co,s: out std_logic_vector(7 downto 0));

END fulladder;

其中,定义了a,b, Ci为输入信号引脚,定义Co,s为输出信号引脚。

第三部分是程序的结构体,具体描述电路的内部结构和逻辑功能。结构体有三种描述方式,分别是行为(BEHA VIOR)描述方式、数据流(DATAFLOW)描述方式和结构描述方式。其中数据流描述方式又被称为寄存器(RTL)描述方式。结构体以表示ARCHITECHTURE开头,以END结尾。结构体的名称可以任取。architecture behav of fulladder is

BEGIN

s<=a xor b xor Ci;

Co<=(a and b)or(a and Ci)or(b and Ci);

END fulladder

上面程序段中结构体的描述方式属于程序流描述方式。

4.2主要函数语句分析

在程序设计中,主要使用的函数语句有两种:If-else语句和case-when语句。这两种语句也是VHDL程序设计中常用的语句。二者都属于流程控制语句。流程控制语句通过条件控制开关决定是否执行一条或几条语句或重复执行一条或几条语句或跳过一条或几条语句。

IF语句是一种条件语句,它根据语句中所设置的一种或多种条件,有选择地执行指定的顺序语句。IF语句的语句结构有以下三种:

IF 条件句Then -- 第一种IF语句结构

顺序语句

END IF

IF 条件句Then -- 第二种IF语句结构

顺序语句

ELSE

顺序语句

END IF

IF 条件句Then -- 第三种IF语句结构

顺序语句

ELSIF 条件句Then

顺序语句

...

ELSE

顺序语句

END IF

CASE语句根据满足的条件直接选择多项顺序语句中的一项执行,CASE语句的结构如下:

CASE 表达式IS

When 选择值=> 顺序语句

When 选择值=> 顺序语句

...

END CASE

当执行到CASE语句时,首先计算表达式的值,然后根据条件句中与之相同的选择值。执行对应的顺序语句,最后结束CASE语句。表达式可以是一个整数类型或枚举类型的值,

也可以是由这些数据类型的值构成的数组。

5小结

通过这次FPGA课程设计,对FPGA的基本原理有了进一步的认识。

FPGA的基础就是数字电路和VHDL语言,其开发需要从顶层设计、模块分层、逻辑实现、软硬件调试等多方面着手。开发环境常用的有Altera公司的Quartus II和Xilinx公司的ISE,本次课程设计选用的是Quartus II。

但同时暴露了平时学习中的许多不足。在设计的过程中我遇到了一些问题,对quartus软件的使用还不太熟悉,在编译的时候出现的错误不知道怎么解决,请教了很多同学才弄明白。还有进行仿真之前需要自己建立仿真波形文件,才能进行仿真等等,这也让我明白了要保持设计的高效率必须经常练习。

另一方面我也发现了动手实践的重要性。动手实践是理论知识得以灵活运用的必要前提,也是今后今后走上工作岗位之后能够很好的完成设计工作的技术保证。FPGA是实用性很强的课程,只有多学多用,边学边用,才能促进提高自己的能力。虽然课设完成了,但是我意识到,我对FPGA技术仅仅只是停留在入门的阶段,想要有更大的发展,更深入的研究,还需要更多的努力与实践。

6参考文献

【1】潘松黄继业. EDA技术与VHDL(第二版).北京:清华大学出版社,2005.7 【2】刘福奇Verilog HDL设计与实战,北京航空航天大学出版社,2012.9 【3】付家才. EDA工程实践技术.北京:化学工业出版社,2007.1

【4】汉泽西. EDA技术及其应用.北京:北京航空航天大学出版社,2004.5 【5】赵刚.EDA技术简明教程.成都:四川大学出版社,2004.6

【6】章彬宏周正林.EDA应用技术.北京:北京理工大学出版社,2007.7 【7】陈忠平基于QuartusII的FPGA/CPLD设计与实践,电子工业出版社,2010.4

基于51系列单片机的多功能波形发生器及特定波形幅值调节 精品

创新性实验研究报告 课程名称:基于51系列单片机的波形发生器研究实验项目名称多功能波形发生器及特定波形幅值调节 姓名XXX_学号_XXXXXX 手机XXXXXXXXX Email XXXXXXXXXXXX 专业自动化_班级_XXXXXXX _ 指导教师及职称___XXX__ 开课学期2011 至2012 学年第一学期 提交时间2011 年12 月29 日

一、实验摘要 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。例如在通信、广播、电视系统中都需要射频发射,这就需要信号发生器,在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振图像等,都需要功率或大或小、频率或高过低的信号。在现代社会中,自动化技术早已渗透到社会生产的各个领域中,高精度、宽频率、高稳定性的信号发生器对于所属整体系统的性能改善和提高起着至关重要的作用。多波形的函数信号发生器是电子实验室的基础设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全,性能指标高,但是价格昂贵,本文所研究的信号发生器采用单片机和DAC0832结合起来,通过数字电子电路向模拟电路转化,该系统虽然性能指标不如标准产品,但是它体积小,成本低,便于携带等特点,亦可作为电子随身设备之一。本次创新性实验将由AT89C51单片机和DAC0832数模转换器构成波形发生器,此波形发生器可产生方波、三角波、锯齿波、梯形波、阶梯波等多种波形,波形的幅值可以用程序进行改变,并可根据需要选择单极性输出,具有线路简单、结构紧凑、价格低廉、性能优越等优点。在本设计的基础上,加上按键用来更改不同波形之间的切换,实现不同波形的输出。 二、实验目的 在电子设备中,经常需要产生各种波形,本设计要求利用单片机和模数转换芯片组成波形发生器硬件系统,需要完成下列任务: (1)能够通过按键控制,产生方波、三角波、锯齿波、梯形波、阶梯波五种波形。(2)能够通过原理图调试进行改变各个波形的幅度。 三、实验场地及仪器、设备和材料: (1)AT89C51芯片1个 (2)DAC0832芯片1个 (3)OPAMP放大器芯片1个 (4)电阻2个电容3个可调电阻1个排阻1个 (5)开关6个 (6)Protues软件 (7)晶振1个 (8)示波器1台 四、实验内容 1、实验原理 波形的产生是通过AT89C51单片机执行某一波形发生程序,向DAC0832转换器的输入端按一定的规律发生数据,从而在D/A转换电路的输出端得到相应的电压波形。 AT89C51单片机的最小系统有三种联接方式。一种是两级缓冲器型,即输入数据经过两级

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

多波形函数信号发生器方案

个人资料整理仅限学习使用中文摘要

英文摘要

目录 1 引言.......................................................... - 1 - 2函数信号发生器设计要求及过程.................................. - 2 - 2.1函数信号发生器设计要求 (2) 2.2函数信号发生器电路设计的基本原理 (2) 2.3运算放大器的介绍 (3) 2.3.1迟滞电压比较器......................................... - 3 - 2.3.2 积分电路.............................................. - 5 - 2.4差分放大器的介绍 (6) 3总体电路设计 (7) 3.1方波—三角波产生电路的设计 (7) 3.2三角波—正弦波变换电路的设计 (11) 4.1EWB软件的简介 (15) 4.1.1 EWB软件的概述........................................ - 15 - 4.1.2 EWB软件的基本操作方法................................ - 15 - 4.2函数信号发生器的仿真过程及结果 (16) 4.2.1使用EWB对电路进行设计和实验仿真的基本步骤............. -16 - 4.2.2方波—三角波信号发生器电路的装调及仿真结果............ - 16 - 4.2.3三角波—正弦波变换电路的装调和仿真.................... - 17 - 结论........................................................... - 20 - 参考文献

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

基于verilog hdl语言的多功能波形发生器设计

《基于Verilog HDL语言的多功能波形发生器设计》第1页共22页 基于Verilog HDL语言的多功能波形发生器设计 学生姓名:指导老师: 摘要:本文主要探索了应用EDA灵活可重复编程和方便在系统重构的特性,以Verilog HDL为设计语言,将硬件功能以软件设计来描述,提高了产品的集成度,缩短开发周期。所设计的波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号的转换并且频率可调;设计的频率计以1Hz为基准信号,测量的范围是1Hz—9999Hz,测量的结果以四位十进制的形式输出。能实现任意波形的输出并且能够测量外来信号的频率,这也是本文的设计思路。 关键词: DDS;;Verilog HDL;EDA;Max+PlusⅡ;波形发生器 Abstract:This article explores the application of EDA to facilitate flexible and reprogrammable and reconstruction in the system features to Verilog HDL design language, the hardware functions to software design to describe and improve product integration, shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable; designed to 1Hz frequency counter For the reference signal, measured in the range 1Hz-9999Hz, the measurement results in the form of four decimal output. which is the design idea of this article . Key words: DDS; Verilog HDL;EDA; Max+PlusⅡ; a rbitrary waveform generator

单片机实现简易波形发生器

电子信息工程专业 单片机课程设计报告 题目简易波形发生器姓名 学号 班级 指导教师 2013年7 月4 日

要求: 1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。 3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。 5.课程设计任务书和报告要语言流畅,图表正确规范。 6.本表要用钢笔、圆柱笔填写或打印,字迹工整。

课程设计报告 1 设计原理与技术方法: 1.1 电路工作原理分析 本次单片机实习采用的是单片机STC89C52,对于简易波形发生器设计的硬件电路主要为三个部分,为显示部分、键盘部分、D/A转换电路,以下对三个部分分别介绍。 1.1.1 显示电路原理 如图1.1所示八位八段数码管为共阴极数码管,通过两个74HC573锁存器与单片机连接,一片573的LE为位选信号另一片的LE为段选信号,分别由单片机的P2.7和P2.6控制,高电平有效。当P2.7=1、P2.6=0时,位选有效,P0.0-P0.7分别控制01-08八位数码管选通,低有效,即通过P0口送出数据,哪一位为0则哪一位数码管有显示;当P2.6=1、P2.7=0时,段选有效,此时P0.0-P0.7分别控制每一位八段数码管的每一段a b c d e f g dp 的亮灭,高有效,从而使数码管显示数字0-9。显示段码如表1.1所示。 图 1.1 显示电路 表1.1 共阴极数码管显示段码 1.1.2 键盘电路原理 如图1.2所示为4×4的矩阵式键盘与单片机的P3口相连,行连接P3.0-P3.3,列连接P3.4-P3.5。用扫描法对按键进行扫描,先将所有行置0,所有列置1,当有按键按下时,通过对P3口的状态查询则按下的按键所在列将为0,其余仍未1,通过延时去抖动判断是否真有按键按下,若有,则逐行扫描,判断按键所在行,最后返回按键键码,并去执行相应

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

基于系列单片机的多功能波形发生器及特定波形幅值调节

基于系列单片机的多功能波形发生器及特定波形幅值调节

————————————————————————————————作者:————————————————————————————————日期:

创新性实验研究报告 课程名称:基于51系列单片机的波形发生器研究实验项目名称多功能波形发生器及特定波形幅值调节 姓名XXX_学号_XXXXXX 手机XXXXXXXXX Email XXXXXXXXXXXX 专业自动化_班级_XXXXXXX _ 指导教师及职称___XXX__ 开课学期2011 至2012 学年第一学期 提交时间2011 年12 月29 日

一、实验摘要 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。例如在通信、广播、电视系统中都需要射频发射,这就需要信号发生器,在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振图像等,都需要功率或大或小、频率或高过低的信号。在现代社会中,自动化技术早已渗透到社会生产的各个领域中,高精度、宽频率、高稳定性的信号发生器对于所属整体系统的性能改善和提高起着至关重要的作用。多波形的函数信号发生器是电子实验室的基础设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全,性能指标高,但是价格昂贵,本文所研究的信号发生器采用单片机和DAC0832结合起来,通过数字电子电路向模拟电路转化,该系统虽然性能指标不如标准产品,但是它体积小,成本低,便于携带等特点,亦可作为电子随身设备之一。本次创新性实验将由AT89C51单片机和DAC0832数模转换器构成波形发生器,此波形发生器可产生方波、三角波、锯齿波、梯形波、阶梯波等多种波形,波形的幅值可以用程序进行改变,并可根据需要选择单极性输出,具有线路简单、结构紧凑、价格低廉、性能优越等优点。在本设计的基础上,加上按键用来更改不同波形之间的切换,实现不同波形的输出。 二、实验目的 在电子设备中,经常需要产生各种波形,本设计要求利用单片机和模数转换芯片组成波形发生器硬件系统,需要完成下列任务: (1)能够通过按键控制,产生方波、三角波、锯齿波、梯形波、阶梯波五种波形。(2)能够通过原理图调试进行改变各个波形的幅度。 三、实验场地及仪器、设备和材料: (1)AT89C51芯片1个 (2)DAC0832芯片1个 (3)OPAMP放大器芯片1个 (4)电阻2个电容3个可调电阻1个排阻1个 (5)开关6个 (6)Protues软件 (7)晶振1个 (8)示波器1台 四、实验内容 1、实验原理 波形的产生是通过AT89C51单片机执行某一波形发生程序,向DAC0832转换器的输入端按一定的规律发生数据,从而在D/A转换电路的输出端得到相应的电压波形。 AT89C51单片机的最小系统有三种联接方式。一种是两级缓冲器型,即输入数据经过两级

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

四种波形发生器

学号 XXXX大学 单片机原理及应用A课程设计 设计说明书 四种波形发生器 起止日期:2017 年 5 月29 日至2017 年 6 月9日 学生 班级 成绩 指导教师(签字) 控制与机械工程学院 2017年6月9 日

目录 绪论 (1) 1、设计目的 (2) 2、课程设计题目和实现目标 (3) 3、设计方案 (4) 4、主要芯片介绍 (5) 4.程序流程图 (9) 5、Proteus仿真原理图 (10) 6、设计心得体会 (11) 参考文献 (12)

绪论 近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。单片机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。它体积小,成本低,功能强,广泛应用于工业自动化上和智能产品。本次基于51系列单片机实验平台开发课程设计,是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。实验主要包括,以STC89C52RC 单片机作为核心板,实现电路原理图设计,LCD显示模块、串口通信模块、数码管显示模块、LED流水灯、按键操作等电路的设计、焊接与仿真。编程软件采用keil 4及proteus 7.8仿真软件进行仿真。

简易波形发生器

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

相关主题
文本预览
相关文档 最新文档