当前位置:文档之家› 西电 数电 大作业

西电 数电 大作业

西电 数电 大作业
西电 数电 大作业

数电大作业

02111163 杨俊

一.交通灯

一、 设计说明

1.设计一个十字路口交通信号灯控制器,其要求如下:

设南北方向的红、黄、绿灯分别为r ,y ,g ;东西方向的红、黄、绿灯分别为R ,Y ,G ,满足图1 的工作流程并且可以并行工作:g (R )→r (G ),黄灯用于提示绿灯变为红灯或者绿灯变为红灯。

2.满足两个方向的工作时序:其中令东西方向为主干道方向,绿灯亮30秒,红灯亮20秒,黄灯5秒;南北方向为支线,绿灯20秒,红灯30秒,黄灯5秒。

3.十字路口要有数字显示装置(数码管),作为时间提示,以便人们更直观地把握时间。

二、设计过程

1、设计方案

分析系统的逻辑功能需求后,交通灯控制系统将主要由以下几个部分构成:定时脉冲发生器、状态机、控制器、译码器、延时器、彩灯。定时脉冲发生器发出以1S 为单位的定时脉冲,状态机控制交通灯红、绿、黄状态的变化,而控制器将控制数码管的倒计时,并将对状态机进行反馈。延时器、译码器与交通彩灯将由状态机的输出信号进行相应的信号灯变化。总体设计框图如下:

2、定时脉冲发生器设计

为实现倒计时功能,可由555芯片设计一个1S 为周期,50%占空比的脉冲电路,以控制控制器的时钟。

3、状态机设计

控制器 状态机

延时、译码 主干道交通灯 定时脉冲发生器 支线交通灯

状态转移 置数

将图一的四个状态分别以00、01、11、10表示,则可用双D触发器构成一个简单的状态机

状态主干道支线

Q1Q2r y g R Y G

0 0 20 20

0 1 5 5

1 1 30 30

1 0 5 5

列出状态机的真值表如下:

No: Q1n Q2n Q1n+1Q2n+1

1 0 0 0 1

2 0 1 1 1

3 1 1 1 0

4 1 0 0 0

经过化简得:Q2n+1=Q1n, Q1n+1=Q2n,由一片7474可以实现。

4、控制器设计

控制器主要实现倒计时功能,但普通计数器只有正向计数功能,固在计数输出端加上非门就能实现倒数计数。

输入信号输出信号输出信号反相计时值状态(编码)

Q 1

Q

2

高位计数器

D

7

-D

4

低位计数器

D

3

-D

高位计数器低位计数器00

20秒倒计时1110 0110 0001 1001 19 …………………………1110 1111 0001 0000 10 1111 0110 0000 1001 9 …………………………1111 1111 0000 0000 0

01

5秒倒计时

1111 1011 0000 0100 4 1111 1100 0000 0011 3 …………………………1111 1111 0000 0000 0

11

30秒倒计时1101 0110 0010 1001 29 1101 0111 0010 1000 28 …………………………1110 1111 0001 0000 10 1111 0110 0000 1001 9 …………………………1111 1111 0000 0000 0

10

5秒倒计时1111 1011 0000 0100 4 1111 1100 0000 0011 3 …………………………1110 1111 0001 0000 0

经过分析上表,其中每个状态的初始值都可由状态机的输出状态进行置数。状态高位片置数低位片置数

Q 1Q

2

D7D6D5D4D3D2D1D0

0 0 1 1 1 0 0 1 1 0

0 1 1 1 1 1 1 0 1 1

1 1 1 1 0 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1

再由上表化简,得D7=D6=D1=1 、D0=D3=D2=Q1⊕Q2、D4=Q1+Q2、D5=Q1与非Q2 。

5、延时、译码、及信号灯设计

信号灯同样可由状态机的输出信号控制,其中用“1”表示灯亮,“0”表示灯灭,易得下表:

状态主干道支线

Q1Q2r y g R Y G

0 0 1 0 0 0 0 1

0 1 0 1 0 0 1 0

1 1 0 0 1 1 0 0

1 0 0 1 0 0 1 0

由上表,r=G、y=Y、g=R,其中r、g可由139译码器控制,而y、Y,则可由译码器的两个输出脚经过或门得到。

但是,为了实现在29、04、19秒变灯还必须在状态机与译码器之间加上一个延时器,当变灯信号到达后,延时一秒,再经由译码器控制信号灯变化,而这同样也可以由一个双D触发器实现。

三、设计实现

1、定时脉冲发生器硬件设计

2、状态机设计

状态机的触发时钟由两片控制器的进位RCO1与RCO2经过一个或门得到,即高低位片同时到达“0”时,触发状态机进入下一状态。

双D触发器实现状态机

3、控制器设计

由计算结果,可得控制器硬件电路,其中高低位片的置数都由状态机控制,当计数片倒数到达“0”后产生RCO,拉低LD电位,使置数。高低计数片的输出端连接48后控制数码管。另外,为了消除电路刚启动时的乱码,可在计数片的输出端上接一个四线与非门,当电路启动,并且低位片显示出“F”时,同样也实现置数功能。

4、延时

器及信号灯设计

上图中延时器的CLK是状态机的CLK去非,即先将Q1、Q2储存在延时器中,当状态机发生状态转移的下一秒,再将Q1、Q2输出至译码器。

5、总电路图(仿真结果)

二.流水灯

设计过程:

使用两片3线-8线译码器(74LS138)级联成4线-16线译码器作为LED灯的直接控制单元,再使用一片四位二进制计数器(74LS163)控制4线-16线译码器。

电路设计图:

仿真结果:

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

西电数据挖掘大作业k-means和k-medoids

题 目: 数据挖掘 学 院: 电子工程学院 专 业: 智能科学和技术 学生姓名: ** 学 号: 02115*** k -means 实验报告 一、 waveform 数据 1、 算法描述 1. 从数据集{X n }n?1N 中任意选取k 个赋给初始的聚类中心c 1, c 2, …,

c k; 2.对数据集中的每个样本点x i,计算其和各个聚类中心c j的欧氏 距离并获取其类别标号: label(i)=arg min ||x i?c j||2,i=1,…,N,j=1,…,k 3.按下式重新计算k个聚类中心; c j=∑x j s:label(s)=j j ,j=1,2,…k 重复步骤2和步骤3,直到达到最大迭代次数为止2、实验结果 二、图像处理 1、算法描述 同上; 2、实验结果

代码: k_means: %%%%%%%%%K_means%%%%%%%% %%%%%%%%%函数说明%%%%%%%% %输入: % sample——样本集; % k ——聚类数目; %输出: % y ——类标(从0开始) % cnew ——聚类中心 % n ——迭代次数 function [y cnew n]=k_means(sample,k) [N V]=size(sample); %N为样本的个数 K为样本的维数 y=zeros(N,1); %记录样本类标 dist=zeros(1,k); rand_num=randperm(N); cnew=(sample(rand_num(1,1:k),:));%随机初始化聚类中心cold=zeros(k,V); n=0;

心电信号发大器

二、设计心电信号放大电路 要求:电路总增益可调,输入阻抗≥1MΩ,共模抑制比KCMR≥80dB,带宽:;电路具有50Hz陷波功能,陷波器中心衰减大于15dB。 提示: 1.输入级采用3运放构成的仪表放大器,可以保证输入阻抗和共模抑制比足够高。 2. 陷波器可以采用带通滤波器和相加器组成的带阻滤波器或双T 带阻滤波器; 心电信号发大电路 1 人体心电信号的特点 心电信号属生物医学信号,具有如下特点: (1)信号具有近场检测的特点,离开人体表微小的距离,就基本上检测不到信号; (2)心电信号通常比较微弱,至多为mV量级; (3)属低频信号,且能量主要在几百赫兹以下; (4)干扰特别强。干扰既来自生物体内,如肌电干扰、呼吸干扰等;也来自生物体外,如工频干扰、信号拾取时因不良接地等引入的其他外来串扰等; (5)干扰信号与心电信号本身频带重叠(如工频干扰等)。 2 采集电路的设计要求 针对心电信号的上述特点,对采集电路系统的设计分析如下: (1)信号放大是必备环节,而且应将信号提升至A/D输人口的幅度要求,即至少为“V”的量级; (2)应尽量削弱工频干扰的影响; (3)应考虑因呼吸等引起的基线漂移问题; (4)信号频率不高,通频带通常是满足要求的,但应考虑输入阻抗、线性、低噪声等因素 根据题目要求,首先要进行前置放大电路设计。 前置放大电路是心电信号采集的关键环节,由于人体心电信号十分微弱,噪声强且信号源阻抗较大,加之电极引入的极化电压差值较大,这就对前级(第一级)放大电路提出了较高的要求,即要求前级放大电路应满足以下要求:

高输入阻抗;高共模抑制比;低噪声、低漂移、非线性度小;合适的频带和动态范围。 为此,选用Analog公司的仪用放大器AD620作为前级放大(预放)。AD620的核心是三运放电路(相当于集成了三个OP07运放),该放大器有较高的共模抑制比(CMRR),温度稳定性好,放大频带宽,噪声系数小且具有调节方便的特点,是生物医学信号放大的理想选择。根据小信号放大器的设计原则,前级的增益不能设置太高,因为前级增益过高将不利于后续电路对噪声的处理。 仿真过程采用O.5 MV,1.2 Hz的差分信号源以及0.5mv,50hz的干扰信号为模拟心电输入来模拟电路的放大过程。 1、前端放大器 放大倍数:A1=(27+27)/5.8 +1=10.31 2、高通滤波电路 根据题目要求,其截止频率为0.1hz,设电容C1=C2=20uF,通过式:R=1/(2πfC)可得其电

西电《软件技术基础》上机大作业答案解析

说明 每个实验题目含有一个main函数和一些函数,与实验题目相关的基本运算的函数定义和main函数定义的代码在附录以及对应的文件夹中给出,供上机实验参考使用。对于每个题目,只需要根据题目要求设计算法,补充函数定义,然后对程序进行编译、调试。

实验一线性表 一、实验目的 1.熟悉线性表的顺序和链式存储结构 2.掌握线性表的基本运算 3.能够利用线性表的基本运算完成线性表应用的运算 二、实验内容 1.设有一个线性表E={e1, e2, … , e n-1, e n},设计一个算法,将线性表逆置,即使元素排列次序颠倒过来,成为逆线性表E’={ e n , e n-1 , … , e2 , e1 },要求逆线性表占用原线性表空间,并且用顺序表和单链表两种方法表示,分别用两个程序来完成。(文件夹:顺序表逆置、单链表逆置) 2.已知由不具有头结点的单链表表示的线性表中,含有三类字符的数据元素(字母、数字和其他字符),试编写算法构造三个以循环链表表示的线性表,使每个表中只含有同一类的字符,且利用原表中的结点空间,头结点可另辟空间。(文件夹:分解单链表) 实验二栈和队列 一、实验目的 1.熟悉栈和队列的顺序和链式存储结构 2.掌握栈和队列的基本运算 3.能够利用栈和队列的基本运算完成栈和队列应用的运算 二、实验内容 1.设单链表中存放有n个字符,试编写算法,判断该字符串是否有中心对称的关系,例如xyzzyx是中心对称的字符串。(提示:将单链表中的一半字符先依次进栈,然后依次出栈与单链表中的另一半字符进行比较。)(文件夹:判字符串中心对称) 2.假设以数组sequ[m]存放循环队列的元素,同时设变量rear和quelen 分别指示循环队列中队尾元素的位置和内含元素的个数。编写实现该循环队列的入队和出队操作的算法。 提示:队空的条件:sq->quelen==0;队满的条件:sq->quelen==m。(文件夹:循环队列)实验三串 一、实验目的 1.熟悉串的顺序存储结构 2.掌握串的基本运算及应用 二、实验内容 1.串采用顺序存储结构,编写朴素模式匹配算法,查找在串中是否存在给定的子串。(文件夹:模式匹配) 2.若S是一个采用顺序结构存储的串,利用C的库函数strlen和strcpy(或strncpy)编写

西安电子科技大学 数字电路基础 答案

习题4 4-3 解:该电路的输入为3x 2x 1x 0x ,输出为3Y 2Y 1Y 0Y 。真值表如下: 由此可得:1M =当时,33 2 321210 10 Y x Y x x Y x x Y x x =??=⊕?? =⊕??=⊕? 完成二进制至格雷码的转换。 0M =当时,33 2 32 132121 321010 Y x Y x x Y x x x Y x Y x x x x Y x =??=⊕?? =⊕⊕=⊕??=⊕⊕⊕=⊕? 完成格雷码至二进制的转换。

4-9 设计一个全加(减)器,其输入为A,B,C 和X(当X =0时,实现加法运算;当X =1时,实现减法运算),输出为S(表示和或差),P (表示进位或借位)。列出真值表,试用3个异或门和3个与非门实现该电路,画出逻辑电路图。 解:根据全加器和全减器的原理,我们可以作出如下的真值表: 由真值表可以画出卡诺图,由卡诺图得出逻辑表达式,并画出逻辑电路图: A B C X P 4-10 设计一个交通灯故障检测电路,要求红,黄,绿三个灯仅有一个灯亮时,输出F =0;

若无灯亮或有两个以上的灯亮,则均为故障,输出F =1。试用最少的非门和与非门实现该电路。要求列出真值表,化简逻辑函数,并指出所有74系列器件的型号。 解:根据题意,我们可以列出真值表如下: 对上述的真值表可以作出卡诺图,由卡诺图我们可以得出以下的逻辑函数: F AB AC BC A B C AB AC BC A B C =+++=??? 逻辑电路图如下所示: A F 4-13 试用一片3-8译码器和少量逻辑门设计下列多地址输入的译码电路。 (1) 有8根地址输入线7A ~1A ,要求当地址码为A8H,A9H ,…,AFH 时,译码器输出为 0Y ~7Y 分别被译中,且地电平有效。 (2) 有10根地址输入线9A ~0A ,要求当地址码为2E0H,2E1H, …,2E7H 时,译码器输 出0Y ~7Y 分别被译中,且地电平有效。

SQL数据库期末大作业

学校:北京联合大学 系别:信息管理系 姓名:孙超 学号:2013110444006 《餐饮业信息管理系统的开发》 1、本项目的需求分析 随着今年来中国餐饮行业的日益火爆,在强烈的行业竞争中,一个高效的餐饮信息管理系统的应用,无疑是至关重要的。高效,便捷的管理系统,不仅仅极大的方便了食客的就餐,同时对于餐饮公司的各项信息管理有着很大的帮助,同时,我们的餐饮信息管理系统还能帮助餐厅降低错误率,扩大营业范围,增加知名度等。 为了使得系统在操作的过程中,更加便捷,具有针对性,本次系统设计主要分为:员工登陆操作信息系统,以及店主操作管理信息系统。不同的设计从而达到不同的功能,实现信息的有效传达与管理。 第一:在员工使用本餐饮信息管理系统应可以实现以下功能: 1.添加修改查询客户会员信息(修改客户信息需客户确认) 2.查询菜单 3.添加查询预定信息,为老顾客打折 4.客户可以在自己的会员账户里充值 5.顾客可以用现金买单也可以从会员账户里扣取 第二:管理员使用本餐饮信息管理系统应可以实现以下功能: 1.添加修改查询客户会员信息(修改客户信息需客户确认) 2.添加修改查询菜单信息,最好能看到菜品图片 3.添加查询预定信息,为老顾客打折 4.客户可以在自己的会员账户里充值 5.顾客可以用现金买单也可以从会员账户里扣取 6.设定具体的打折方法 7.添加职员信息,权限也可以定为管理员。 8.可以查询使用者的现金收款金额。 二、餐饮业管理数据库管理系统的E-R模型(概念结构设计) 1.用户(员工)的信息:

编号、密码、类型、姓名、电话、收款金额 2.客户信息: 用户编号、客户编号、姓名、电话、密码、开卡时间、卡内余额 3.食谱: 类型、名称、价格、配料、照片 4.预定: 用户编号、日期、预定时间、客户姓名、类型、预定食谱、桌号5桌台管理: 桌号、使用情况、 6.点餐管理: 用户编号、类型、菜品、数量、价格、照片 7.盈利管理: 日期、日支出金额、店内收入、外卖收入、盈利额度 各对象之间的联系图: 用户E-R图 主要存储一些用户信息,如用户的账号、密码和类型地点等等,主要用于用户登录,添加客户和添加预定时会使用到用户信息。

西电集团的实习报告

西电集团的实习报告 导读:西安交通大学西电集团实习报告 7月2日至7月4日,我们在电气工程学院老师的带领下,进行了为期3天的专业认知实习,实地参观了西电集团的大规模实验厅以及各种大规模电力设备,并到陕西省电力公司培训中心进行了参观学习。通过这三天的认知学习,我们队专业相关专业知识和原理有了更深于课本的认识。 7月2日上午,我们首先前往洗点开关电气有限公司,在工作人员,讲解人员首先带领我们认识熟悉了整个厂区的结构和环境,接下来,讲解人员对展柜中GIS开关柜进行了一一的讲解。第一次见到这些神奇的电力设备,我倍感新奇。通过聆听专业人员的讲解,并且进行网上相关资料学习,我了解到GIS,也叫高压配电装置,主要把母线、断路器、CT、CP、隔离开关、避雷器都组合在一起的开关站。GIS 的优点主要包括:1,有很高的安全性和可靠性,2 能够让变电站实现小型化。GIS中核心技术主要有绝缘涉及,通流设计,气体密封设计等。 随后,我们又去了西电变压器有限责任公司。带着安全帽的我印象最深刻的就是整个工厂里随时都有可能发生事故的吊车。据负责讲解的工作人员说,我们头顶可以人工控制的吊车重达400吨,只有用它才可以将已经缠绕拼接好的线圈从倒地状态翻身成立式状态。除此之外,我们还参观了冲剪车间,记得讲解人员讲过0,28mm的硅钢片

叠在一起,拐角处切成45度角可以将涡流减小到最小。印象最深的是可以只有两个人操作的全自动冲剪设备,大大节省了人力物力。 最后,我们去到了先高压电器研究院有限责任公司。在这里我们主要参观学习了高压检测大厅,高压试验大厅等地方,亲听电气学长讲述设备仪器功能。印象最深是高压试验大厅,四周都是钢板所建成,地板则是用铜板铺成。我们在实验大厅看到了各种高电压至几千千伏的变压器或电压发生器。如此庞然大物对我来说,看到并学习的感受可以用震撼形容。 中午我们进行午餐(我感觉西电的饭真心不错,比交大的好吃多了!)并在午餐后参加了西电集团座谈会。座谈会上,西电集团的领导,资深的技术人员们与我们对于西电集团以及未来工作规划进行了深刻的讲解与交流。我的感觉是,西电集团是个不错的工作单位,看起来收入、生活条件个方面都是十分可观的。之后的互动环节,更是令我印象深刻。西电集团的资深技术人员对我们类似未来求职困惑,未来规划、以及我们队西电集团的困惑都进行了一一详细的解答。醍醐灌顶,令人深思。印象最深刻的是夏文老师说的综合能力问题。记得她说她会因为一个人一段RAP说的很不错就拍板留下这个人,也会因为西电集团缺乏年轻人参加羽毛球赛而费解。总之,综合能力对于一个社会人来讲,是很重要,作为当代大学生,我们应当综合发展自己各方面能力。再有就是张猛老师所讲电力集团的未来发展,很多方面都是不怕做不到,只怕想不到,只要可以不断有想法,电力集团就

西电数字信号处理大作业

第二章 2.25 已知线性时不变系统的差分方程为 若系统的输入序列x(x)={1,2,3,4,2,1}编写利用递推法计算系统零状态响应的MATLAB程序,并计算出结果。 代码及运行结果: >> A=[1,-0.5]; >> B=[1,0,2]; >> n=0:5; >> xn=[1,2,3,4,2,1]; >> zx=[0,0,0];zy=0; >> zi=filtic(B,A,zy,zx); >> yn=filter(B,A,xn,zi); >> figure(1) >> stem(n,yn,'.'); >> grid on;

2.28图所示系统是由四个子系统T1、T2、T3和T4组成的,分别用单位脉冲响应或差分方程描述为 T1: 其他 T2: 其他 T3: T4: 编写计算整个系统的单位脉冲响应h(n),0≤n≤99的MATLAB程序,并计算结果。 代码及结果如下: >> a=0.25;b=0.5;c=0.25; >> ys=0; >> xn=[1,zeros(1,99)]; >> B=[a,b,c]; >> A=1; >> xi=filtic(B,A,ys); >> yn1=filter(B,A,xn,xi); >> h1=[1,1/2,1/4,1/8,1/16,1/32]; >> h2=[1,1,1,1,1,1]; >> h3=conv(h1,h2); >> h31=[h3,zeros(1,89)]; >> yn2=yn1+h31; >> D=[1,1];C=[1,-0.9,0.81]; >> xi2=filtic(D,C,yn2,xi); >> xi2=filtic(D,C,ys); >> yn=filter(D,C,yn2,xi); >> n=0:99; >> figure(1) >> stem(n,yn,'.'); >> title('单位脉冲响应'); >> xlabel('n');ylabel('yn');

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

西电数据结构大作业

题目:数据结构上机报告学院:电子工程学院 专业:信息对抗技术 学生姓名:甘佳霖 学号:14020310092

西安电子科技大学 数据结构课程实验报告实验名称线性表 电子工程学院 1402031 班Array姓名甘佳霖学号 14020310092 同作者 实验日期 2017 年 3 月 18 日

实验一线性表 一、实验目的 1.熟悉线性表的顺序和链式存储结构 2.掌握线性表的基本运算 3.能够利用线性表的基本运算完成线性表应用的运算 二、实验要求 1.设有一个线性表E={e1, e2, … , e n-1, e n},设计一个算法,将线性表逆置,即使元素排列次序颠倒过来,成为逆线性表E’={ e n, e n-1 , … , e2 , e1 },要求逆线性表占用原线性表空间,并且用顺序表和单链表两种方法表示,分别用两个程序来完成。 2.已知由不具有头结点的单链表表示的线性表中,含有三类字符的数据元素(字母、数字和其他字符),试编写算法构造三个以循环链表表示的线性表,使每个表中只含有同一类的字符,且利用原表中的结点空间,头结点可另辟空间。 三、设计思路 1.顺序表做逆置操作时将对应的首尾元素位置交换,单链表的指针end指向链表的末尾,指针start指向链表头结点,指针s用来找到指向end节点的节点,将指向链表末尾和头结点的存储内容交换,然后头结点指针指向下一节点,s指针从start节点开始遍历寻找指向end 指针的节点,并将end指针赋值为s指针,就完成了单链表的逆置,可以看出单链表和顺序表都可以完成线性表的逆置。 2.分解单链表的实现思路是首先新建3个循环链表,然后顺序遍历单链表,ASCII码判断链表中的元素属于哪一类元素,然后将这个元素添加到对应的循环链表中,从而实现分解单链表的功能。 四、运行结果 1.单链表逆置:

(完整版)[光纤通信]西电第二版课后习题答案

1.光纤通信的优缺点各是什么? 答:优点有:带宽资源丰富,通信容量大;损耗低,中继距离长;无串音干扰,保密性好;适应能力强;体积小、重量轻、便于施工维护;原材料来源丰富,潜在价格低廉等。 缺点有:接口昂贵,强度差,不能传送电力,需要专门的工具、设备以及培训,未经受长时间的检验等。 2.光纤通信系统由哪几部分组成?各部分的功能是什么? 答:光纤通信系统由三部分组成:光发射机、光接收机和光纤链路。 光发射机由模拟或数字电接口、电压—电流驱动电路和光源组件组成。光源组件包括光源、光源—光纤耦合器和一段光纤(尾纤或光纤跳线)组成。 模拟或数字电接的作用是实现口阻抗匹配和信号电平匹配(限制输入信号的振幅)作用。光源是LED 或LD ,这两种二极管的光功率与驱动电流成正比。电压—电流驱动电路是输入电路与光源间的电接口,用来将输入信号的电压转换成电流以驱动光源。光源—光纤耦合器的作用是把光源发出的光耦合到光纤或光缆中。 光接收机由光检测器组件、放大电路和模拟或数字电接口组成。光检测器组件包括一段光纤(尾纤或光纤跳线)、光纤—光检波器耦合器、光检测器和电流—电压转换器。 光检测器将光信号转化为电流信号。常用的器件有PIN 和APD 。然后再通过电流—电压转换器,变成电压信号输出。模拟或数字电接口对输出电路其阻抗匹配和信号电平匹配作用。 光纤链路由光纤光缆、光纤连接器、光缆终端盒、光缆线路盒和中继器等组成。 光纤光缆由石英或塑料光纤、金属包层和外套管组成。光缆线路盒:光缆生产厂家生产的光缆一般为2km 一盘,因而,如果光发送与光接收之间的距离超多2km 时,每隔2km 将需要用光缆线路盒把光缆连接起来。光缆终端盒:主要用于将光缆从户外(或户内)引入到户内(或户外),将光缆中的光纤从光缆中分出来,一般放置在光设备机房内。光纤连接器:主要用于将光发送机(或光接收机)与光缆终端盒分出来的光纤连接起来,即连接光纤跳线与光缆中的光纤。 6.简述WDM 的概念。 答:波分复用的基本思想是将工作波长略微不同、各自携带了不同信息的多个光源发出的光信号,一起注入到同一根光纤中进行传输。 3.弱导阶跃光纤纤芯和包层折射率分别为1 1.5n =,2 1.45n =,试计算 (1)纤芯和包层的相对折射率?; (2)光纤的数值孔径NA 。 解:阶跃光纤纤芯和包层的相对折射率差为 22 122 10.032n n n -?=≈ 光纤的数值孔径为 0.38NA ≈ 5.一根数值孔径为0.20的阶跃折射率多模光纤在850nm 波长上可以支持1000个左右的传播模式。试问: (1)其纤芯直径为多少? (2)在1310nm 波长上可以支持多少个模? (3)在1550nm 波长上可以支持多少个模? 解:(1 )由111 22V a NA ππλλ==?,得到纤芯直径为 11130.2722V a NA λλ ππ= ?==≈

西电排队论大作业完整版

西电排队论大作业 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

西安电子科技大学 (2016年度) 随机过程与排队论 班级: XXXXXXX 姓名: XXX XXX 学号: XXXXXXXXXX XXXXXXXXXXX 一步转移概率矩阵收敛快慢的影响因素 作者姓名:XXX XXX 指导老师姓名:XXX (西安电子科技大学计算机学院,陕西西安) 摘要:根据课程教材《排队现象的建模、解析与模拟【西安电子科技大学出版 社曾勇版】》,第[马尔可夫过程]中,马尔可夫过程链n时刻的k步转移概率结 果,当k=1时,得到一步转移概率。进而得到一步转移概率矩阵P(1)。为研究 此一步转移概率矩阵(下称一步矩阵)的收敛特性以及影响其收敛快慢的因素,使 用MATLAB实验工具进行仿真,先从特殊矩阵开始做起,发现规律,然后向普通矩 阵进行拓展猜想,并根据算术理论分析进行论证,最终得出一步矩阵收敛快慢的影 响因素。 关键词:一步转移概率矩阵 MATLAB 仿真猜想 一、问题概述 我们讨论时一步矩阵的特性应从以下两方面来分析: (1)矩阵P(n)在满足什么条件时具有收敛特性; 对于矩阵P(n),当P(n)=P(n+1)时,我们说此矩阵 具有收敛特性,简称矩阵 P(n)收敛。 (2)若一个一步矩阵具有收敛特性,那么其收敛速度与什么有关

首先,我们需要明确什么是一步矩阵收敛: 对于一般的一步矩阵P 、矩阵An+1、矩阵An,若有: An+1=AnP=An 那么称该一步转移矩阵可收敛。 二、仿真实验 1、仿真环境 本次采用的是MATLAB仿真实验软件进行仿真实验 2、结果与分析 【1】、特殊矩阵:单位矩阵与类单位矩阵 从图(1)和图(2)可以看出,单位矩阵不具有收敛特性,类单位矩阵并非单位矩阵但是经过n次后也变为单位矩阵,所以此矩阵也不具有收敛特性。此类矩阵也易证明其不具有收敛性。 图(1)单位矩阵图(2):类单位 矩阵 【2】、一般单位矩阵 图(3):一般一步矩阵Ⅰ 图(4):一般一步矩阵 从图(3)和()可以看出他们分别在18次和4次后收敛到一个稳定的值 3、根据实验的猜想 根据在单位矩阵和一般单位矩阵和一般一步矩阵中得到的结果,可以对得出如下结论:类单位矩阵、单位矩阵是不具有收敛性的,而一般的一步矩阵是有收敛性的,而且收敛速率有快有慢。 对于上面结论中的状况,我们首先观察如上四个矩阵,不难发现,在矩阵收敛的最终结果矩阵中,其每行和均为1,而且每列上的值均为相同值。最终概率分布结果也是矩阵收敛后的一行。 所以根据上述的结果及分析做出如下猜想: 每一列比较均匀的矩阵收敛速度较快;与类单位矩阵类似的矩阵收敛速度较慢。 在极限情况下,有如下情况:

西安电子科技大学 数字电路实验报告1

实验一报告 1.题目 集成逻辑门的测试2.实验目的了解与非门各参数的意义。熟悉万用表的使用方法。熟悉数字逻辑实验板的使用方法。了解集成逻辑门电路的使用注意事项。3.实验设备及仪器 数字逻辑电路实验板 1块HD74HC00P 1片 数字万用表 1块4.实验原理本实验采用HD74HC00P ,即在一块集成块内含有四个相互独立的 与非门,每个与非门有两个输入端。、管路敷设技术通过管线敷设技术不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标高等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内,强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

云南大学数据库期末大作业:数据库设计

云南大学软件学院实验报告 课程:数据库原理与实用技术实验学期:任课教师: 专业:学号:姓名:成绩: 期末大作业:Electronic Ventor 数据库设计 一、实验目的 (1)掌握数据库设计的基本方法 (2)掌握各种数据库对象的设计方法 (3)熟练掌握DBA必须具备的技能 二、实验内容 1、根据项目的应用和项目的需求说明文档,进行详细的需求分析,给出需求分析的结果。 (1)客户可以在网站上注册,注册的客户要提供客户的姓名、电话、地址,以方便售后和联系,姓名即作为用户名,和密码一起用于注册和登录,客户编号可唯一识别用户,卡号可网上支付。其中地址、电话以方便联系和寄货; (2)网站管理员可以登记各种商品,供客户查询,订购。登记商品时要提供商品的名称、价格,商店中现有商品量,商品编号可唯一识别商品; (3)类别表示商品所属类别,类别编号可唯一识别类别,其中包含了,商品类别名称和制造厂商,可以对商品进行分类售卖; (4)客户可以在网上下订单,也可以到实体店购物,其在订单上所选择的支付方式不同(信用卡、借记卡、现金,现金代表实体店购物),网站管理员可以查看订单,并及时将订单的处理情况更新(比如货物已寄出的信息,订单状态:0:未处理,1:已处理,2:已发货);订单编号可唯一识别订单,订单中包含订单产生时间,订单状态,支付方式和支付总额; (5)实体商店有自己的店名,卖多种商品,每个商店都有固定的地址,顾客可以到店中买商品,(注:在实体店中购买商品的顾客一律将顾客名默认为佚名),当商店中的库存量小于10时会有提醒到仓库中拿货; (6)配送单中包含查询号可唯一识别配送单,配送人,联系方式; (7)仓库中仓库编号可唯一识别仓库,其中每个仓库都有区号,代表其地址。 (8)各实体间关系 1)一个客户可以购买多种商品,一种商品可以被多个客户购买; 2)一个商品属于且仅属于一种类别,一种类别的商品可以包含多个商品或没有; 3)一种商品放在多个商店中销售,一个商店至少销售一种或销售多种商品; 4)一个订单对应一个客户,一个客户对应多个订单; 5)一个订单对应至少有一件商品或多件,一个商品对应多个订单; 6)一个订单可以有一个商品配送单 7)一个仓库可以存放多种商品,一种商品可以存放在一个仓库;

西电微波电子线路课后习题答案

微波电子线路习题 (3-2) (1)分析:电路a 、b 线路相同,信号、本振等分加于二管,混频电流叠加输出,1D 、2D 两路长度差4 λ ,是典型的双管平衡混频器电路。但a 、b 两路本振、信号输入位置互换。在a 电路中,本振反相加于两管,信号同相加于两管,为本振反相型平衡混频器。B 电路则为信号反相型平衡混频器。 (2)电流成分 ①a 电路输出电流成分: t v u s s s ωcos 1= t v u s s s ωc o s 2= t v u l l l ωcos 1= ()πω-=t v u l l l c o s 2 ()t n g g t g l n n ωcos 2101∑ ∞ =+= ()t td n u f g l l l n ωωππ cos 21 20 1 / ?= ()()πω-+=∑ ∞ =t n g g t g l n n cos 21 02 ()t td n u f g l l l n ωωπ π cos 21 20 2/? = ()111s u t g i = ()222s u t g i = *中频分量 1,0=-=n t s ωωω

()t v g i l s s ωω-=cos 101 ()[]()t v g t v g i l s s l s s ωωπωω--=+-=cos cos 1102 t v g i i i s 0102010cos 2ω=-= *和频分量 1,=+=+n t s ωωω ()t v g i l s s ωω+=+cos 11 ()[]()t v g t v g i l s s l s s ωωπωω+-=++=+cos cos 112 t v g i i i s ++++=-=ωcos 2121 *本振噪声 ()πωω-==t v u t v u nl nl n nl nl n cos ,cos 21 ()t v g i l nl nl n ωω-=cos 101 ()01102cos n l nl nl n i t v g i =---=πωπω 00=n i *外来镜频干扰s l s ωωω-=2/ ()[]t v g t v g i io s l s s i ωπωω cos cos / 1// 1/2-=+-= t v g i i i i s i i i 0/ 1/ 2/ 1/ cos 2ω=-= 不能抵消,二倍输出。 *镜频分量 2,2=-=n s l i ωωω ()t v g i s l s i ωω-=2cos 21 ()12222cos i s l s i i t v g i =--=ωπω 0=io i 镜频输出抵消,但流过输入回路,在源电阻上损耗能量。 *高次分量 n 奇数 两路相差πn 反相 输出叠加 n 偶数 两路相差πn 2 同相 输出抵消 ②b 电路输出电流成分: t v u s s s ωcos 1= ( )πω-=t v u s s s c o s 2 () t v g t v g i io s l s s i ωωωcos cos / 1//1/1=-=

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

现代管理专题电大作业

现代管理专题选择题 1、知识经济是以( C )资产投入为主的经济。 C. 无形 2、知识经济依靠无形资产的投入实现可持续发展的前提是依靠 ( D )。 D. 世界经济一体化 3、知识经济的重要基础是( A )。 A. 知识与技术的研发 4、知识经济的发展过程中处于中心地位的是( B ) B. 信息和通讯技术 5、知识经济实现的先决条件是( C ) C.劳动力的素质和技能 6、工业经济向知识经济转变,在产业结构调整上表现为经济重心由制造业向( D )转换。 D. 服务业 7、知识经济是以( A )为导向的经济。 A. 知识决策 8、在知识经济新的市场观念下,宏观调控( B )。 B. 更为必要 9、涉及谁知道某些事和谁知道如何做某些事的信息的知识属于知道( B )的知识。 B. 谁 10、知识经济的灵魂是(B )。 B.创新 11、知道是什么的知识是指有关( C )方面的知识。 C.事实 12、经合组织认为:知识经济是建立在知识和信息的(A )、分配和使用基础之上的经济。 A.生产 13、在工业经济之后,出现了一种新的经济形态,称之为(B )。 B.知识经济 14、知道为什么的知识是指客观事物发展、变化的( D )和规律方面的知识。 D.原理 1、企业再造的成功保障是( D )。 D.以顾客为中心

2、以流程为中心的企业和以职能为中心的企业的根本不同是 ( D )。 D.企业的基本结构不同 3、流程中的所有工序分开,同时独立的进行,最后将各工序的半成品或部件进行汇总和组装。这种流程叫做(D )。 D.平行式流程 4、企业再造的最终目的是实现企业形态由传统的以职能为中心的职能导向型向新型的以( A )为中心的流程导向型的根本转变。 A.流程 5、企业再造理论是由(D )最先提出的。 D.迈克尔.哈默和詹姆斯.钱皮 6、企业再造的最终目标是将企业变成( B )。 B.流程导向型 7、在企业流程再造中,组织所担负的任务决定了,企业必须坚持( A )。 A. 以人为本的团队式管理 8、企业的业务流程是企业已输入各种原料和顾客需求为起点,到企业创造出( B )为终点的一系列活动。 B.对顾客有价值的产品(或服务) 9、企业从事生产或提供服务的基本活动组成的流程以及为这些基本活动提供支持的活性组织的流程组成了企业日常运作的( C )。 C. 经营流程 10、为完成目标而进行的一系列活动有机结合构成的流程是企业的( A )。 A. 管理流程 11、流程再造工程指导团的主要任务是( D )。 D. 制定企业再造的总体规划和决策 12、多道工序在互动的情况下同时进行,叫做( A )。 A. 同步工程 13、按流程处理对象的不同,可以分为实物流程和( B )等。 B. 信息流程 14、流程中的某一工序只有在前道工序完成的情况下才能进行,即所有工序都按先后顺序进行。这种流程叫做(C )。 C.连续式流程 1、企业知识资源的作用是(BC )。 B.是企业获取竞争优势的源泉 C.为企业创造者巨大的市场机会和财富 2、知识经济的繁荣不是直接取决于资源、资本、硬件技术的数量、规模和增量,而是直接依赖于(AC )的积累和利用。 A.知识 C.有效信息

西电新技术讲座课程大作业-并行核外矩量法

新技术讲座课程大作业报告 并行核外矩量法 学院:电子工程学院 专业:电磁场与无线技术 班级:1302061 学号: 姓名: 电子邮件: 日期: 2016 年 06 月21日 成绩: 指导教师:张玉

摘要 本文先简要介绍并行核外计算的发展现状与并行计算的核心思想及其评估方法中加速比的概念,再详写核内LU分解的推导过程并由此推广到并行核内LU分解,最后引出并行核外LU分解算法。 并行核内矩量法与并行核外矩量法比较是本文核心,以求导体球的散射模型为例,比较并行核内矩量法与并行核外矩量法,发现并行核外矩量法比并行核内矩量法填充阶段时间消耗多2-3倍,并且二者的加速比均不理想。同时也发现并行核外矩量法在填充阶段所消耗的时间比并行核内矩量法多了不到一倍,结合在大规模电磁计算中计算机内存的重要性,得出并行核外矩量法在大规模计算中以少量的的额外时间消耗换来计算机内存的合理利用的结论。 总而言之,为了突破计算机内存大小的限制,并行核外矩量法为实际的工程电磁计算提供了一种综合效率较高的选择方案。 关键词:并行核外矩量法加速比计算机内存工程电磁计算

一、 并行核外计算发展现状 计电磁学发展至今,应用范围越来越广,近些年来更是在电大尺寸平台中得到了快速发展。由于电大尺寸平台下所解决的问题复杂,研究目标不论是形状还是环境都很繁杂。在采用矩量法分析后,虽然可以得到很高的精度,但却面临着庞大的矩阵规模。引入机群处理后,设计并行计算来处理需要很大的内存,种种原因的折衷结果就是引入核外空间存储该矩阵,然后分块读取和处理,最后计算出所需的各类参数,引出目标体相应的特性。 二、并行计算 2.1并行计算简介 并行计算(parallel computing )是将某一个运算任务进行分解,,然后将分解后所得的子任务交给各个很多处理器进行运算处理。在运算过程中,每个处理器之间实时进行数据通信和协同运算,并完成了子任务。在这一基础上,整个运算的速度大大提高,求解计算速度效率显著增强,计算的规模可以成倍增加。通过并行计算的定义可以看出,并行计算至少需要两台以上的计算机同时运行,且每台计算机之间可以实时进行数据交换;待处理的运算任务可以被划分成多个子任务,并且,每个子运算任务可以并行在各个计算机处理器上同时计算,还要有固定的程序对各个处理器上的数据编程处理,汇总运算结果,最终达到并行计算的目的。 2.2并行算法评估 评估手段有很多,这里重点介绍加速比的概念:在处理器资源独享的情况下,单个处理器进行计算所需的时间比多个处理器在相同环境下处理同一个任务时所需时间的比值,称为加速比 公式定义为加速比(P 个处理器):1p 2 t S t (2-1) 其中1t 是指单个处理器完成真个运算任务所需的时间,2t 是指P 个处理器在并行算法下运算同一个任务所需要的时间。

相关主题
文本预览
相关文档 最新文档