当前位置:文档之家› 数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计电子密码锁
数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计

课题:电子密码锁设计

姓名:

班级:13通信

学号:

成绩:

指导教师:

开课时间:

目录

摘要 (1)

一课程设计目的内容及安排 (2)

1.1设计目的 (2)

1.2设计内容 (2)

1.3设计安排 (2)

1.4设计内容 (2)

二电子密码锁设计要求及总框图 (3)

2.1设计要求 (3)

2.2总框图 (4)

三各模块电路设计 (5)

3.1密码输入存储比较模块 (5)

3.2五秒计时电路 (6)

3.3二十秒计时电路 (8)

3.4报警电路 (10)

3.5总电路 (11)

四设计心得 (12)

五参考文献 (13)

电子密码锁

摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。

关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排

1.1设计目的

1 根据设计要求,完成对交通信号灯的设计。

2 加强对Multisim10仿真软件的应用。

3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。

4 掌握74LS160,74LS192等功能。

1.2 设计内容

设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

1.3设计安排

1.4 成绩组成

平时考勤占10%,平时完成情况占30%,答辩占30%,设计报名占30%。

二电子密码锁设计要求及总框图

2.1设计要求

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机

构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置

成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状

态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

设计提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码

和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器

工作,光信号由开锁信号点亮LED指示灯;

3、用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产

生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。

2.2总体框图

三各模块电路设计

3.1密码存储输入及比较部分

3.1.1 设计原理

开始时可以在电路中第一片U3 74LS194中设置起始开锁密码,或者在键盘上按数字8,7,6,5也可以实现。在另一片U1 74LS194进行输入密码并与储存密码比较,只有在5s内输入密码与设置密码相同时,锁才打开(在总原理图中此时绿灯亮),如下图所示。若有一个密码不同且时间超过5s时,电路则会进入报警与锁死状态(在总原理图中led灯与红灯同时亮)。如果想换密码也很容易,只要将电路中的密码修改区中的几个开关变换一下就可以了。当密码相同时,输出端A=B输出为1 的信号,绿灯亮。否则通过74LS32的连接红灯亮。

3.1.2 电路设计

密码存储部分

密码比较部分

3.2.5秒计时电路

3.2.1设计思路

在输入密码的开关后面接上74LS32,从而达到输入第一个密码时,开始五秒计时。同时在74LS161的清零端接上或门,一端连高电平,一端接密码比较正确的绿灯一端,当密码在五秒内输入正确时,五秒计时停止。五秒计时电路主要采用了计数器74LS161,对五秒定时电路来说,当输出为5的时候,输出端为0101,要让计数器停止,则需要将时钟脉冲置为无效,因此可以把QA,QD端的高电平信号接出经过一个与非门再与时钟脉冲与非,则当输出为5时,芯片的时钟脉冲始终是高电平,不再有脉冲,计数器停止工作。

3.2.2电路设计

3.3.二十秒计时电路

3.3.1设计思路

五秒电路结束后,二十秒计时电路开始工作并且开始报警。将五秒电路中QA,QD的高电平端接与门从而达到五秒结束以后二十秒电路开始工作的效果。将高电平信号与电源信号相与非送到U1的清零端,达到电路自锁的效果。二十秒计时由两片74LS160构成。这种同步可预置四位二进计数器是由四个D 型触发器和若干个门电路构成,内部有超前进位,具有计数、置数、禁止、直接(异步)清零等功能。对所有触发器同时加上时钟,使得当计数使能输入和内部门发出指令时输出变化彼此协调一致而实现同步工作。这种工作方式消除了非同步(脉冲时钟)计数器中常有的输出计数尖峰。缓冲时钟输入将在时钟输入上升沿触发四个触发器。这种计数器是可全编程的,即输出可预置到任何电平。当预置是同步时,在置数输入上将建立一低电平,禁止计数,并在下一个时钟之后不管使能输入是何电平,输出都与建立数据一致。清除是异步的(直接清零),不管时钟输入、置数输入、使能输入为何电平,清除输入端的低电平把所有四个触发器的输出直接置为低电平。有了超前进位电路后,

无须另加门,即可级联出n位同步应用的计数器。它是借助于两个计数使能输入和一个动态进位输出来实现的。两个计数使能输入(ENP 和ENT)计数时必须是高电平,且输入ENT 必须正反馈,以便使能动态进位输出。因而被使能的动态进位输出将产生一个高电平输出脉冲,其宽度近似等于QA 输出高电平。此高电平溢出进位脉冲可用来使能其后的各个串联级。使能ENP 和ENT 输入的跳变不受时钟输入的影响。电路有全独立的时钟电路。改变工作模式的控制输入(使能ENP、ENT 或清零)纵使发生变化,直到时钟发生为止,都没有什么影响。计数器的功能(不管使能、不使能、置数或计数)完全由稳态建立时间和保持时间所要求的条件来决定。

3.3.2 电路设计

3.4报警电路3.

4.1设计思路

利用555定时器形成多谐振荡器产生脉冲,使LED灯不断闪烁,蜂鸣器发出声音。其中需要调小蜂鸣器的工作电压,将其频率调高。多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

由555定时器构成的多谐振荡器,R 1,R 2和C是外接定时元件,电路中将高电平触发端和低电平触发端并接后接到R2和C的连接处,将放电端接到R 1,R 2的连接处。

由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc上升到(2/3)Vcc 这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R 1+R 2)C。

由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态。其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。

3.4.2 电路设计

3.5总电路

四设计小结

通过对文献资料的参照查找与对比,以及对仿真软件Multisim的学习,不仅制作出了数字密码锁电路的全过程设计,调试,而且初步学会了如何设计数字系统。并且对电子密码锁的原理也懂了很多。在查找文献资料中不仅能学到许多东西,而且学会可许多解决问题的方法;而对Multisim的学习,则更加提高了自己时间操纵的能力。

对课程设计说明书的撰写,进一步加强了各方面能力的锻炼,分析能力进一步加强。在书写课程设计时,不仅要进行单元块的调试,而且需要一步一步进行组装,最后调试。在进行逻辑分析时,还要对其进行不对思考改进,强化了独立解决问题的能力。

在设计过程中,不断寻求解决方案与方法,独立进行学习与查找方案。另外,Microsoft Office Word得到熟练应用。

本来数字电子技术这门课学的不是很透彻,现在感觉学到了好多自己以前没有学会的知识,对数字电子知识有了更深一层的体会和了解。

在课程设计过程中,遇到了很多困难,比如说刚开始对原理一点都不懂,很是苦恼,但是后来经过自己的刻苦努力钻研,终于搞懂了原理,这个时候很有成就感。

总之,这次这次电子密码锁的设计课题,让我学会了很多东西。从一开始的无从下手,到逐渐掌握,再到完全弄明白原理,完成电路图,整个过程确实是很辛苦!但当完成的那一刻内心也确实有种成就感油然而生。与此同时自己也知道了自己数电知识的薄弱,知道了数电学习的重要性与实用性。当然,整个过程没能做实践,只是模拟这点有点遗憾。但是不得不承认的是即使这样自己也收获很多。最后要感谢任课老师给予我们的指导,使得我们少走一点弯路,高效的完成了课程设计,真心的感谢老师。

五参考文献

[1]康华光.电子技术基础(第五版).北京:高等教育出版社:2006

[2]张庆双.实用电子电路200例[M].北京:机械工业出版社:2003

[3]彭介华.电子技术课程设计指导.北京:高等教育出版社:1997

基于51单片机的无线电子密码锁课程设计[优质文档]

单片机课程设计报告书 基于89C51单片机 课题名称 无线电子密码锁的设计姓名刘武 学号131220330 学院通信与电子工程学院 专业电子信息工程 指导教师祝秋香讲师 2015年12月20日

基于89C51单片机无线电子密码锁的设计 1 设计目的 (1)了解MCS-51单片机使用方法和熟悉蓝牙模块; (2)掌握AT89C51芯片的使用方法及蓝牙模块的连接与使用; (3)熟悉单片机程序仿真软件Proteus的使用; (4)了解MCS-51单片机开发板工作原理以及芯片的组合; (5)熟悉无线电子密码锁的设计及实现。 2设计思路 2.1 选择设计电路 设计51单片机与蓝牙连接的电路。 2.2 所选设计电路的原因 (1)由于无线电子密码锁的设计需要用到蓝牙模块,用到的单片机资源并不是很多,而51单片机的资源有8位CPU、4KB的ROM、128B的RAM、2个16位定时/计数器、4组8位的I/O、1个串口和5个中断源,可以更有效率的利用到51单片机的资源。 (2)可现实无线功能的模块有:蓝牙模块、无线模块、红外遥控模块,因为相对之下,蓝牙模块更容易实现,更容易操作,最后选择蓝牙模块实现手机远程控制。 (3)为了提高效率,可以利用51单片机开发板的硬件,用LED灯模拟密码锁的开关,当LED灯亮起时代表锁已经开启,熄灭则代表密码锁被关闭。应用在实际中可用继电器替代LED的亮灭去控制强电开关。 3 设计过程 3.1 设计总框图 无线电子密码锁分为两个主要功能模块:51单片机模块,蓝牙实现模块。这两个模块共同工作完成本电路的功能实现。其中利用AT89C51芯片来实现51单片机模块功能,完成数码管、发光二极管的实现,同时利用HC06蓝牙模块来

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

EDA数字密码锁的设计

目录 第一章概要 1.1 背景简介 1.2设计要求: 第二章设计思路 2.1端口定义 2.2总体结构 2.3 设计方案 第三章单元电路设计 3.1 简述 3.2 密码锁输入电路的设计 3.3 密码控制电路的设计 3.3.1VHDL源程序 3.3.2仿真波形 3.4密码显示电路的设计 3.4.1 密码锁显示电路设计简介 3.4.2 VHDL程序 第四章整体组装 4.1 VHDL源程序或原理图 4.2 整体仿真波形 第五章设计体会

第一章概要 1.1 背景简介: 数字密码锁随着电子工业的发展,数字电子技术已经深入到了人们生活的各个层面,而且各种各样的电子产品也正在日新月异地向着高精尖技术发展。由于电子产品的功能不断增加,使用也越来越方便,有些产品已经成为了人们日常生活中不可缺少的必备物品。发展历史悠久的机械式门锁,因其功能单一,安全性能较差等缺点,必将被新一代的电子门锁所代替。新颖的多功能电子门锁,集电子门锁、防盗报警器,门铃等功能于一身,而且还具有定时器呼唤,断电自动报知,显示屋内有无人和自动留言等诸多附加功能。在未来的生活中,数字密码锁必将在学领域再创新的成绩,将给我们的生活带来更大的便利,前景不可估量。 1.2设计要求 (1).具有密码输入功能; (2). 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; (3). 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20 秒的报警信号。 第二章设计思路 2.1 端口定义: 输入:采样时钟clk;译码输入data[3..0];

密码锁课程设计

课程设计报告 课程设计题目:4位串行数字密码锁 学号 学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL 语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁 Verilog HDL 2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA系统采用硬件描述语言Verilog按模块化方式进行设计,并用modersim软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: Set

本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag产生一个矩形波,作为连接模块的触发信号。同时key_value值为所按下键的编码值,与flag一同传入连接模块。 实际设计接口图: 当输出kevalue:11值的时候,flag再次出现上跳沿。实际上,上面的图写的测试文件是有一点错误的,当a扫描到第三个值(01)时,b在实际电路中应该是01而不是11,此时根据程序flag应置为1,当然此时flag本来就是1,不会发生错误。在实际中,时钟频率跳的如此之快,人按一下按键的持续时间还是有的,所以flag应在按键按完后再下降下来。不然多出很多无用的矩形波,这个装置就没用了。 3.2连接模块 连接模块接口图: keyvalue 送入连接模块进行运算,当连续四个0和1键按下时,中,如果按下的是set键,则set置1,如果按下的是0键,则a_led置1,若是1键,则b_led 置1。 这里有一个需要注意的点是,当第一次按了0键后马上按reset键,再按一下1键时,a的值是1,而不是0。每次按了reset或set,a,b,c,d都是要重新赋值的,这才符合实际情况。 3.3控制模块: 因为这个密码锁是循环使用的,就一定有不同的状态。这里采用有限状态机的方法进行设计。所以把开锁过程分为三个部分: 1.等待输入状态; 2.重设密码状态; 3.输出结果状态; 状态转换图如下所示: 控制模块接口图: flag2 ena

电子密码锁单片机课程设计

电子密码锁单片机课程设计

单片机原理与应用技术课程设计报告 电子密码锁设计 专业班级:电气 124 姓名: 时间:2015年1月2日 指导教师:秦国庆 2015年1月2日

电子密码锁课程设计任务书 1.设计目的与要求 设计出一个电子密码锁。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)状态显示功能:锁定状态时系统用3位数码管显示OFF, 用3位码管显示成功开锁次 数;成功开锁时用3位数码管 显示888,用3位数码管显示 成功开锁次数。 (2)密码设定功能:通过一个4×4的矩阵式键盘可以任意设 置用户密码(1-26位长度), 同时系统掉电后能自动记忆 和存储密码在系统中。(3)报警和加锁功能:密码的输入

时间超过12秒或者连续3次 输入失败,声音报警同时锁定 系统,不让再次输入密码。此 时只有使用管理员密码方能 对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 1

电子密码锁 电气124 赵政权 摘要:电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本设计利用51单片机编程控制原理,采用矩阵键盘、数码管显示、数据存储器和报警系统构成电子密码锁的设计成品。其中数码管使用两组三位一体共阳数码管,数据存储器使用AT24C04芯片通过IIC协议实现数据的传输,矩阵键盘用执行效率极高的代码扫描控制。使用Keil4.6编写程序代码,用Proteus进行仿真,无误后使用Altium制图,最终做成能使用的成品。 关键词: 51单片机 IIC协议矩阵键盘动态显示 Keil Proteus Altium 2

基于51单片机电子密码锁设计

一、设计目的 1.1课题简介 如何实现防盗是很多人关心的问题,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,使人们的人身及财产安全受到很大威胁。电子密码锁是一种依靠电子电路来控制电磁锁的开和闭的装置,开锁需要输入正确密码,若密码泄露,用户可以随时更改密码。因此其保密性高,使用灵活性好,安全系数高,可以满足广大用户的需要,现在广泛使用的有红外遥控电子密码锁,声控密码锁,按键密码锁等。 1.2课题研究目的 本设计是一种基于单片机的密码锁方案,根据基本要求规划单片机密码锁的硬件电路和软件程序,同时对单片机的型号选择、硬件设计、软件流程图、单片机存储单元的分配等都有注释。现在很多地方都需要密码锁,电子密码锁的性能和安全性大大超过了机械锁,为了提高密码的保密性,必须可以经常更改密码,以便密码被盗时可以修改密码。 本次设计的密码锁具备的功能:LED数码管显示初始状态“——————”,用户通过键盘输入密码,每输入一位密码,LED数码管相应有一位变为“P”,若想重新输入密码,只需按下“CLR”键。密码输入完毕后按确认键“#”,密码锁控制芯片将输入的密码和密码锁控制芯片中存储的密码相比,若密码错误,则不开锁,会有红灯亮提示,同时显示“Error”。若正确,则开锁,会有绿灯亮提示,同时显示“PASS”。用户可以根据实际情况随意改变密码值或密码长度,密码输入正确后可以按下“CHG”修改密码,输入新密码时每输入一位新密码相应有一位变为“H”,以便提示用户此时输入的是新密码,修改新密码时若想重新输入新密码只需按下“CLR”键即可。输入新密码后按确认键即修改成功,新密码写入单片机内部RAM中,以便以后用来确认密码的正确性。按下复位键,系统恢复初始状态,密码也恢复初始密码,本设计中初始密码是“096168”。 本次设计中硬件主要由我完成,软件主要由张振完成。 二、硬件设计 2.1概述 本系统主要由单片机最小系统、电源电路、输入键盘电路、输出显示电路、开锁电路等组成,系统框图如图1所示:

密码锁-单片机课程设计

1 绪论 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。电子锁可以在日常生活和现代办公中,住宅与办公室的安全防范、单位的文件档案、财务报表以及一些个人资料的保存等多种场合使用。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性和方便性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点随着人们对安全的重视和科技的发展,对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。具有报警功能的电子密码锁保密性高,使用灵活性好,安全系数高,密码锁设计方法合理,简单易行,成本低,符合住宅、办公室用锁要求,具有推广价值。电子密码锁采取电子电路控制,通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务。 本次设计使用AT89S52单片机构成最小系统,接以键盘,报警系统,液晶显示构成人性化的方便易用的电子密码锁。

2 设计任务、功能要求说明及总体方案介绍 2.1 设计目的与任务 本设计采用AT89S52单片机为主控芯片,结合外围电路矩阵键盘、液晶显示器LCD 1602A等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警,组成的电子密码锁系统。 2.2 设计内容及要求 (1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 (2)其密码为方8位十进制代码(代码自设定)。 (3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) (4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路,查阅至少5篇参考文献。按《湖南工学院课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 2.3 设计总体方案及工作原理说明 采用以单片机AT89S52为核心的控制方案,总体框图见图1。 单片机作为本装置的核心器件,在系统中起到控制声光报警、以及数码动态显示的作用。一般来说在选择单片机时下面几个方面考虑:性能、存储器、运行速度、I/O口、定时/计数器、串行接口、模拟电路功能、工作电压、功耗、封装形式、抗干扰性、保密性,除了以上的一些还有一些最基本的比如:中断源的数量和优先级、工作温度范围、有没有低电压检测功能、单片机内有无时钟振荡器、有无上电复位功能等。在开发过程中单片机还受到:开发工具、编程器、开发成本、开发人员的适应性、技术支持和服务等等因素。基于以上因素本设计选用单片机AT89S52作为本设计的核心元件,利用单片机灵活的编程设计和丰富的I/O 端口,以及控制的准确性,实现基本的密码锁功能。在单片机的外围电路外接加

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

电子密码锁课程设计

目录 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以 启动执行机构动作,并且用红、绿LED指示关锁、开锁状态。 2)密码锁控制器中存储一个4位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4位密码可以修改。 3.方案选择 第一方案555集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

数字电路密码锁设计

电子密码锁 摘要本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安工作,有极高的安全系数。 关键词电子密码锁电压比较器555单稳态电路计数器JK触发器UPS电源。 1引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。 设计本课题时构思了两种方案:一种是用以A T89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。 2 总体方案设计 2.1设计思路 共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。 2.2总体方框图

3 设计原理分析 电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS 电源是为了防止因为停电 造成的密码锁电路失效,使用户免遭麻烦。 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。 3.1 键盘输入、密码修改、密码检测、开锁及执行电路 . 其电路如下图1所示: 开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11 导通,其集电极输出低电平,送往IC1~IC4,实现清零。 密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1~S4的选择,也就实现了密码的校验。本电路有16组的密码可供修改。 由两块74LS112(双JK 触发器,包含IC1~IC4)组成密码检测电路。由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK 端出现了一个负的下降沿,IC1计数,Q 端输出为高电平,用户依次按下有效的密码,IC2~IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。 执行电路是由一块555单稳态电路(IC13),以及由T10、 T11组成的达林顿管构成。若IC13的2脚输入一高电平,则3脚输出高电平,使T10导通,T11导通,电磁阀开启,实现开门,同时T10集电极上接的D5(绿色发光二极管)发亮,表示开门,20秒后,555电路状态翻转,电磁阀停止工作,以节电。其中电磁阀并联的电容C24使为了提高电磁阀的力矩。 图1 键盘输入、密码修改、密码检测、开锁、执行电路 3.2 报警电路 报警电路实现的功能是:当输入密码的时间超过40秒(一般情况下用户输入不会超过),电路报警80秒,防止他人恶意开锁。 电路包含两大部分,2分钟延时和40秒延时电路。其工作原理是当用户开始输入密码时,电路开始2分钟计时,超出40秒,电路开始80秒的报警。如图3所示 CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC2A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC3A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC1A R26 R25 R24 R2 R20 VCC CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC4A K1 K2 S1 K3 K4 S2 K5 K6 S3 K7 K8 S4 S? TRIG 2 Q 3 R 4 CVolt 5 THR 6 DIS 7 VCC 8 GND 1 V3 C13 20U C12 . . . . T10 T11 D5 10 C14 0.01U C15 0.01U C16 0.01U C17 0.01U C18 0.01U C19 0.01U C20 0.01U C21 0.01U TC13 1M R21 3.3K 电磁锁 清零信号 RD 1 2 4 5 6 IC5A 1 2 3 IC6A 锁定信号 E1 1K X 4 清零信号 RD 74LS112 消除报警信号 74ls08 74ls22 k9 74LS112 74LS113 74LS114 555 T12 9018 C25 47u R20 20k R27 2.2k 来自报警电路 的清零信号 C24 500u

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

简易电子密码锁课程设计

江西理工大学应用科学学院 微机控制系统课程设计报告 题目:简易电子密码锁 姓名: 学号: 专业班级: 指导教师: 完成时间: 设计报告综合测试平时总评 格式(10分) 内容 (10分) 图表 (5分) 功能测试 (35分) 答辩 (20分) 考勤 (20分)指导教师签名:

目录 摘要.................................................... - 1 - 第一章系统概述.. (2) 第二章基本功能设计.................................... - 3 - 2.1 实验任务........................................ - 3 - 2.2 基本设计要求.................................... - 3 - 2.2.1 基本要求.................................. - 3 - 2.2.2发挥部分 .................................. - 3 - 2.3 主要元件介绍 (3) 2.3.1 P89C51芯片 (3) 2.4 系统框图 (5) 第三章硬件设计 (5) 3.1 硬件电路的设计 (5) 3.1.1 硬件工作接线口 (5) 3.1.2 LED显示器结构与原理 (5) 3.1.3 复位电路 (7) 3.1.4 振荡电路 (7) 3.1.5 按键设置 (8) 3.1.6 报警器和发光二极管 (9) 3.2 硬件电路图 (9) 第四章软件设计 (11) 4.1 系统软件设计 (11) 4.1.1密码开锁功能 (12) 第五章系统PROTUSE仿真图 (13) 第六章设计总结 (16) 参考文献 (17) 附录 (18)

数字电路数字密码锁设计

哈尔滨师范大学 学年论文 题目:数字密码锁设计 学生:董爽 指导教师:李晶讲师 年级:2006级 专业:计算机科学与技术 系别:计算机科学系 学院:计算机科学与信息工程学院 哈尔滨师范大学 08年6月

论文提要 当今社会,信息技术飞速发展,世界各国都致力于发展信息技术,信息技术的竞争已演变为国家间综合国力的竞争。21世纪是信息技术、生物技术和材料科学技术为主体的社会随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,数字密码锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 本文的电子密码锁主要是通过对数字逻辑电路中对门控制,来实现对用户输入的密码进行系统检测,只有系统检测正确后,用户才可能进行密码修改等一些其它操作。如果40内或3次的密码输入不正确则系统会自动报警3次及锁定使得用户在一定时间内无法进行其它操作。并且有各种附加电路保证电路能够安全工作,有极高的安全系数。

数字密码锁设计 董爽 摘要:随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。本设计构思是用以74LS112双JK触发器构成的数字逻辑电路控制方案。 关键词:电子密码锁电压比较器 555单稳态电路 JK触发器 UPS电源 当今社会,信息技术飞速发展,世界各国都致力于发展信息技术,信息技术的竞争已演变为国家间综合国力的竞争。21世纪是信息技术、生物技术和材料科学技术为主体的社会随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,数字密码锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 电子电路设计常用的方法是试验设计法,一般都包括设计方案提出、方案验证、方案修改3个阶段。传统的试验设计法通常采用手工搭接实验电路来完成,往往需要经过试验和修改的反复过程,直到设计出正确的电路。本设计数字密码锁共为用户设下了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作. 由开锁及延时保持电路、错键闭锁电路、主控制电路、除错控制电路、继电器开关电路、开锁显示电路等组成。输入密码时必须按一定的顺序输入,并且要在规定时间内输入完,否则,即使输对密码也开不了锁。另外,该锁还具有错键闭锁和消除错键的功能。 一,设计分析 根据所选的设计题目,进行思路规化如图1:

课程设计-密码锁

课程设计课程名称: 设计题目: 专业: 姓名: 学号:

一、设计内容和要求 题目:密码锁 该锁有4个密码拨动开关(设定0和1)来设置密码,一个开箱钥匙孔(能接通电池)。当用钥匙开箱时,如果输入的4位密码正确,箱被打开;否则,电路将发出警报(发光二极管亮表示)。要求锁的密码在第一次使用时能由用户自行进行一次性设定(机械方式)。 具体要求: 1)写出该组合逻辑电路的分析和设计方法; 2)画出电路原理图,标明要使用的芯片。 二、电路设计 1.题目分析 从题目要求来看,该密码锁必须具备以下几个条件: (1).所设置的密码必须为4位,例如1000。 (2).密码错误时,必须发出警报,此时二极管亮。 (3).可以进行密码设定。 2.设计思路 因为每一位密码只有两个状态,即0和1,因此可以用单刀双掷开关结合高低电平来实现密码的设定以及输入,而设定密码与输入密码的比对可以通过异或门来实现。具体可以是4个异或门连接输入端,然后与反向器相连接,再连接4输入与非门,最后连接一个开关,一个500欧姆的限流电阻,一个发光二极管。 3.具体电路 总电路图如下图所示:

开始的8个单刀双掷开关和VCC以及GND部分是密码的设定以及输入部分,期中J2-J5是密码的设定,J6-J9是密码的输入。开关拨到上输入1,开关拨到下输入为0。上图的设定密码为1010,此时输入密码也为1010,密码正确,因此发光二极管不亮。如果输入密码为1011,此时与设定密码不相符,就会发出警报,表现为二极管发光,如下图: 从图可以看出,二极管发出绿光,说明密码错误,需要重新输入。 开关J1与开箱钥匙孔相连接。当用钥匙开箱时,开关J1闭合,电路接通。 如果密码错误二极管就会发光。 4.电路分析 异或门电路的性质:两个引脚输入相同时输出为0,输入相异时输出为1。由此可知,如果设置密码与输入密码相同时,U9A的输出电平为低电平,因此发光二极管不发光。如果设置密码与输入密码不同,则U9A的输出电平为高电平,此时二极管发光。如果J1断开,即不用钥匙开箱,则无论输入是什么,都不可能发光。如果J1接通,即用钥匙开箱,则需要满足输入与设定不同是才会发光。 5.芯片需求 54LS86是一个有4二输入异或门的芯片,引脚图如下:

数电综合设计 电子密码锁的设计

昆明理工大学数字电路与系统 课程设计报告 题目:电子密码锁的设计学院:理学院 专业班级: 姓名: 学号: 指导教师: 完成时间:201 年5月26日

目录 目录 (1) 1、前言 (2) 2.总体方案设计 (2) 2.1 设计目的 (2) 2.2 技术指标 (2) 2.3 方案框图 (2) 3. 单元模块设计 (3) 3.1.1 密码设置模块设计 (3) 3.1.2 矩阵键盘模块设计 (4) 3.1.3密码输入锁存模块 (5) 3.1.4密码比较模块 (6) 3.1.5定时报警模块(该模块还有一些问题,有待改进) (7) 3.1.6开锁模块 (8) 3.2电路元件选择和参数计算 (8) 3.3.1 555定时器介绍 (9) 3.3.2 74HC161器件介绍 (10) 3.3.3移位寄存器74HC194介绍 (10) 3.4各单元模块的联接 (11) 4 仿真电路总图 (11) 4.1密码设置模块的仿真调试 (12) 4.2密码输入锁存模块的仿真调试 (12) 4.3密码比较模块调试 (13) 5、总结和体会 (2) 6、参考文献 (2)

1.前言 社会发展,人们生活水平提高,传统的机械锁由于其构造的简单,被撬的事件时常发生,电子密码锁由于其保密性高,使用灵活性好,并且可以减少人们日常忘带钥匙的所带来的麻烦,因此受到了广大用户的喜爱。电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。作为密码锁的输入部分,本设计采用通用数字机械键盘。 密码锁输入电路:包括时序产生电路、键盘译码电路等电路。密码锁控制包括密码核对(数值比较,解锁电路(开/关门锁电路)等几个小的功能电路。七段数码管显示电路将待显示数据的BCD 码转换成数码器的七段显示驱动编码。以此为基础设计电子密码锁。 2.总体方案设计 2.1 设计目的 基于数字逻辑课程的学习,初步掌握了简单组合电路和时序电路的设计与功能,通过这次大作业,主要培养理论知识结合实践的综合性能力,进一步理解基本逻辑门,触发器,计数器,寄存器,等的功能特性和实际作用,在已知芯片功能的基础上,通过课外知识的拓展,对所学知识有更深刻和全面的认识。具体有以下几点: 1、巩固和加深对数字电路基本知识的理解,提高综合运用本课程所学知识的能力。 2、培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检 测等环节,初步掌握简单实用电路的分析方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高进行数字电子电路实验的基本 技能。 2.2 技术指标 1、设计一个数字锁,每把锁都有其预先设定好的(四位十进制数)开锁密码,在一个 开锁控制信号作用下用该密码可以打开锁; 2、开锁时,其预先设定好的(四位十进制数)代码与(四位十进制代码)开锁密码 信号相符,且开锁控制信号有效时,锁打开; 3、若不符,电路将发出报警信号; 2.3 方案框图

单片机电子密码锁课程设计

单片机电子密码锁 课程设计 1

基于单片机的电子密码锁设计 一、设计要求和条件 1.1 设计要求 根据单片机开发板所提供的元件特性和硬件电路, 编写相关的程序, 经过实验开发板实现电子密码锁在LCD1602上显示的功能。 1.搭建proteus仿真电路图平台, 模拟单片机要实现的功能; 2.焊接单片机系统开发板; 3.编写程序, 实现密码锁相关功能; 4.下载并调试程序, 实现密码锁的具体功能。 1.2 设计目的 1.熟练掌握KEIL软件的使用方法; 2.熟练掌握PROTEUS软件的使用方法; 3.掌握单片机I/O接口的工作原理; 4.掌握中断系统的工作原理; 5.掌握液晶LCD1602的工作原理及编程方法; 6.掌握蜂鸣器的编程使用; 2

7.掌握行列式键盘的工作原理及编程使用方法; 8.掌握单片机的ISP下载使用方法。 1.3 功能概述 本设计是基于单片机的密码锁设计方案, 根据要求, 给出了该单片机密码锁的硬件电路和软件程序, 同时给出了硬件设计方案、软件流程图、C语言源程序及详细注释等内容, 由于单片机实验板上的矩阵键盘为3*3的, 则规定0-5号键为数字键, 6-8号键为功能键, 其中该密码锁的具体功能介绍如下: (1)按”8”号键则输入密码, 初始密码为012345, 在LCD1602上显示密码值为”******”( 密码是保密的) , 输完6位后键盘就锁定, 在LCD1602上显示密码是否正确, 若输入的密码长度小于6位, 则1602等待密码输入。 (2)若密码输入正确后, 则绿色的发光二极管亮表示开锁, 而且1602上显示”you are right!”, 等待是否修改密码。 (3)密码输入错误时显示”code is wrong”, 接着会给你第二、第三次机会输入密码, 如果三次密码都错误时, 发出”叮咚”的报警声, 且红色报警指示灯不停闪烁, 按复位键清除报警。 3

数字密码锁设计

数字密码锁设计 一、设计任务与要求 设计由编码器、集成逻辑门电路、声光报警指示电路构成的密码锁电路,研究门电路的接口与驱动技术、学习组合逻辑电路的设计方法;用Proteus 软件仿真;实验测试逻辑功能。具体要求如下: (1)密码锁电路由密码输入电路、密码设置电路和密码控制电路组成,密码输入及密码设置均采用十进制数形式,密码输入通过键盘或按键输入。密码设置通过开关输入。 (2)如果输入的密码与预先设定的密码相同,则保险箱被打开,密码控制电路的输出端E =1,F=0;否则电路发出声、光报警信号,即输出端E=0,F=1。 (3)实验时,“锁被打开”的状态可用绿色发光二极管指示;声、光报警可分别用红色发光二极管及蜂鸣器指示。 (4)写出设计步骤,画出最简的逻辑电路图。 (5)对设计的电路进行仿真、修改,使仿真结果达到设计要求。 (6)安装并测试电路的逻辑功能。 (7)拓展内容:如果考虑一个开锁用的钥匙插孔输入端G,当开锁时(G=1),密码输入才有效,试在上述电路基础上修改该电路。 二、课题分析及设计思路 (1)密码输入电路及密码设置电路的设计思路 由于密码输入及密码设置均采用十进制数形式,故可利用8421BCD 码编码器分别实现,以一位密码输入及密码设置为例,其实现框图如下: 图1 密码输入及密码设置电路的实现框图 (2)密码控制电路的设计思路 分析以上设计任务与要求,密码控制电路的实现框图如下:

图2 密码控制电路实现框图 很容易得到:)()()()(1111D D C C B B A A F E ⊕?⊕?⊕?⊕== 由上述逻辑表达式可确定相应的逻辑电路图。 (3)TTL 集成门电路与LED 发光二极管的接口电路设计 TTL 集成门电路除了可驱动门电路外,还能驱动一些其它器件如LED 发光二极管。以集成反相器为例,有如下两种情况如图3(a )、(b)所示: 图3(a ) 输出高电平时LED 亮 图3 (b) 输出低电平时LED 亮 电路中串接的电阻R1、R2 为限流电阻,其作用是保护LED 因过流而烧坏。其大小按如下公式进行选择: D F OH I V V R -=1 D OL F CC I V V V R --=2 上述两式中,I D 为LED 正常发光时的电流,V F 为LED 导通电压,V OH 、V OL 分别为反相器的高、低电平输出电压。如I D =5mA ,V F =2.2V ,V OH =3.4V ,V OL =0.2V 时,算得R1=240欧,R2=520 欧。 注意:① 图3(a )接法时,发光二极管的电流不能超过门电路的“最大拉电流”,图3(b) 接法时,发光二极管的电流不能超过门电路的“最大灌电流”,否则会导致输出电平的 混乱。当然,如果该门电路处于整个逻辑电路的最末端,则发光二极管的电流可不受此限制。

相关主题
文本预览
相关文档 最新文档