当前位置:文档之家› 通用串行总线接口——USB

通用串行总线接口——USB

通用串行总线接口——USB
通用串行总线接口——USB

通用串行总线接口——USB

我相信大家都对USB有一定的了解吧。但是也不能排除有不懂的,不过没关系,下面我就把这一计算机外设接口技术——USB来个全面介绍。我以几个章节来介绍USB的概念、基本特性以及它的应用,让大家对USB有个全面的认识。

概念篇

由于多媒体技术的发展对外设与主机之间的数据传输率有了更高的需求,因此,USB 总线技术应运而生。USB(Universal Serial Bus),翻译为中文就是通用串行总线,是由Conpaq,DEC,IBM,Inter,Microsoft,NEC和Northen Telecom等公司为简化PC与外设之间的互连而共同研究开发的一种免费的标准化连接器,它支持各种PC与外设之间的连接,还可实现数字多媒体集成。

USB接口的主要特点是:即插即用,可热插拔。USB连接器将各种各样的外设I/O端口合而为一,使之可热插拔,具有自动配置能力,用户只要简单地将外设插入到PC以外的总线中,PC就能自动识别和配置USB设备。而且带宽更大,增加外设时无需在PC内添加接口卡,多个USB集线器可相互传送数据,使PC可以用全新的方式控制外设。USB可以自动检测和安装外设,实现真正的即插即用。而USB的另一个显著特点是支持“热”插拔,即不需要关机断电,也可以在正运行的电脑上插入或拔除一个USB设备。随着时间的推移,USB将成为PC的标准配置。基于USB的外设将逐渐增多,现在满足USB要求的外设有:调制解调器,键盘,鼠标,光驱,游戏手柄,软驱,扫描仪等,而非独立性I/O连接的外设将逐渐减少。即主机控制式外设减少,智能控制控制外设增多。USB 总线标准由1.1版升级到2.0版后,传输率由12Mbps增加到了240Mbps,更换介质后连接距离由原来的5米增加到近百米。基于这点,USB也可以做生产ISDN以及基于视频的产品。如数据手套的数字化仪提供数据接口。USB总线结构简单,信号定义仅由2条电源线,2条信号线组成。

基本特性

https://www.doczj.com/doc/1d18988005.html,B的硬件结构

USB采用四线电缆,其中两根是用来传送数据的串行通道,另两根为下游(Downstream)设备提供电源,对于高速且需要高带宽的外设,USB以全速12Mbps的传输数据;对于低速外设,USB则以1.5Mbps的传输速率来传输数据。USB总线会根据外设情况在两种传输模式中自动地动态转换。USB是基于令牌的总线。类似于令牌环网络或FDDI基于令牌的总线。USB主控制器广播令牌,总线上设备检测令牌中的地址是否与自身相符,通过接收或发送数据给主机来响应。USB通过支持悬挂/恢复操作来管理USB总线电源。USB系统采用级联星型拓扑,该拓扑由三个基本部分组成:主机(Host),集线器(Hub)和功能设备。

主机,也称为根,根结或根Hub,它做在主板上或作为适配卡安装在计算机上,主机包含有主控制器和根集线器(Root Hub),控制着USB总线上的数据和控制信息的流动,每个USB系统只能有一个根集线器,它连接在主控制器上。

集线器是USB结构中的特定成分,它提供叫做端口(Port)的点将设备连接到USB总线上,同时检测连接在总线上的设备,并为这些设备提供电源管理,负责总线的故障检测和恢复。集线可为总线提供能源,亦可为自身提供能源(从外部得到电源),自身提供能源的设备可插入总线提供能源的集线器中,但总线提供能源的设备不能插入自身提供能源的集线器或支持超过四个的下游端口中,如总线提供能源设备的需要超过100mA电源时,不能同总线提供电源的集线器连接。

功能设备通过端口与总线连接。USB同时可做Hub使用。

https://www.doczj.com/doc/1d18988005.html,B的软件结构

每个USB只有一个主机,它包括以下几层:

(1)USB总线接口

USB总线接口处理电气层与协议层的互连。从互连的角度来看,相似的总线接口由设备及主机同时给出,例如串行接口机(SIE)。USB总线接口由主控制器实现。

(2)USB系统

USB系统用主控制器管理主机与USB设备间的数据传输。它与主控制器间的接口依赖于主控制器的硬件定义。同时,USB系统也负责管理USB资源,例如带宽和总线能量,这使客户访问USB成为可能。USB系统还有三个基本组件:

主控制器驱动程序(HCD)这可把不同主控制器设备映射到USB系统中。HCD与USB 之间的接口叫HCDI,特定的HCDI由支持不同主控制器的操作系统定义,通用主控制器驱动器(UHCD)处于软结构的最底层,由它来管理和控制主控制器。UHCD实现了与USB 主控制器通信和控制USB主控制器,并且它对系统软件的其他部分是隐蔽的。系统软件中的最高层通过UHCD的软件接口与主控制器通信。

USB驱动程序(USBD)它在UHCD驱动器之上,它提供驱动器级的接口,满足现有设备驱动器设计的要求。USBD以I/O请求包(IRPs)的形式提供数据传输架构,它由通过特定管道(Pipe)传输数据的需求组成。此外,USBD使客户端出现设备的一个抽象,以便于抽象和管理。作为抽象的一部分,USBD拥有缺省的管道。通过它可以访问所有的USB设备以进行标准的USB控制。该缺省管道描述了一条USBD和USB设备间通信的逻辑通道。

主机软件在某些操作系统中,没有提供USB系统软件。这些软件本来是用于向设备驱动程序提供配置信息和装载结构的。在这些操作系统中,设备驱动程序将应用提供的接口而不是直接访问USBDI(USB驱动程序接口)结构。

(3)USB客户软件

它是位于软件结构的最高层,负责处理特定USB设备驱动器。客户程序层描述所有直接作用于设备的软件入口。当设备被系统检测到后,这些客户程序将直接作用于外围硬件。这个共享的特性将USB系统软件置于客户和它的设备之间,这就要根据USBD在客户端形成的设备映像由客户程序对它进行处理。

主机各层有以下功能:

检测连接和移去的USB设备。

管理主机和USB设备间的数据流。

连接USB状态和活动统计。

控制主控制器和USB设备间的电气接口,包括限量能量供应。

HCD提供了主控制器的抽象和通过USB传输的数据的主控制器视角的一个抽象。USBD提供了USB设备的抽象和USBD客户与USB功能间数据传输的一个抽象。USB系统促进客户和功能间的数据传输,并作为USB设备的规范接口的一个控制点。USB系统提供缓冲区管理能力并允许数据传输同步于客户和功能的需求。

https://www.doczj.com/doc/1d18988005.html,B的数据流传输

主控制器负责主机和USB设备间数据流的传输。这些传输数据被当作连续的比特流。每个设备提供了一个或多个可以与客户程序通信的接口,每个接口由0个或多个管道组成,它们分别独立地在客户程序和设备的特定终端间传输数据。USBD为主机软件的现实需求建立了接口和管道,当提出配置请求时,主控制器根据主机软件提供的参数提供服务。

USB支持四种基本的数据传输模式:控制传输,等时传输,中断传输及数据块传输。

每种传输模式应用到具有相同名字的终端,则具有不同的性质。

控制传输类型支持外设与主机之间的控制,状态,配置等信息的传输,为外设与主机之间提供一个控制通道。每种外设都支持控制传输类型,这样主机与外设之间就可以传送配置和命令/状态信息。

等时(lsochronous)传输类型支持有周期性,有限的时延和带宽且数据传输速率不变的外设与主机间的数据传输。该类型无差错校验,故不能保证正确的数据传输,支持像计算机-电话集成系统(CTI)和音频系统与主机的数据传输。

中断传输类型支持像游戏手柄,鼠标和键盘等输入设备,这些设备与主机间数据传输量小,无周期性,但对响应时间敏感,要求马上响应。

数据块(Bulk)传输类型支持打印机,扫描仪,数码相机等外设,这些外设与主机间传输的数据量大,USB在满足带宽的情况下才进行该类型的数据传输。

USB采用分块带宽分配方案,若外设超过当前带宽分配或潜在的要求,则不能进入该设备。同步和中断传输类型的终端保留带宽,并保证数据按一定的速率传送。集中和控制终端按可用的最佳带宽来传输传输数据。

USB应用篇

USB连接器可以轻松地为计算机添加设备,同时不占用计算机的并口和串口。只要将设备一插就可以使用了。但它有时也难以使用。

一.让计算机支持USB

现在大部分的计算机都有USB端口。而一些老式的计算机则没有USB端口的,只有USB连接器,但它是不起作用的,你可以在启动计算机时查看BIOS,确定它是否支持USB。你可选择USB Legacy支持选项(如果有该选项的话)。如果你的老式主板真的不支持USB 设备,你只有去买一块USB连接卡,这就可以把USB设备添加到你的计算机里了。

二.让WINDOWS系统支持USB

现在的WINDOWS98对很多外设都提供了全面的支持。只有WINDOWS 3.X及更早版本的WINDOWS及WINDOWS NT都不支持USB,如果你想查看你的计算机是否安装了USB控制器,可进入“控制面板”,双击其中的“系统”图标,然后选择“设备管理器”选项卡。你就会看到“通用串口总线控制器”点击该控制器,你会看到两个项目:Universal Host Controller和Unicersal Root Hub。如果你还没有安装USB的驱动程序,则从你的WINDOWS 安装光盘的\OTHER\USB文件夹中找到这些项目,双击Usbsupp.exe即可安装USB驱动程序。

三.让计算机连接更多的USB设备

一般的计算机只有两个USB端口,如果你想连接更多的USB外设,则利用USB集线器,该集线器可提供多个USB端口,你只要将该集线器直接插入你的计算机即可。有了足够的USB端口,你就可以最多连入127个USB设备。

结束语

USB为计算机外设输入输出提供了新的接口标准。它使设备具有热插拔,即插即用,自动配置的能力,并标准化设备连接。USB的级联星型拓扑结构大大扩充了外设数量,使增加,使用外设更加便捷,快速。而新提出的USB2.0标准更是将数据传输速率提高到了一个新的高度,这是具有美好的应用前景

串行通信技术-模拟信号转换接口

微机原理与应用实验报告6 实验9 串行通信技术 实验10A 模拟信号转换接口 实验报告

实验九串行通信技术 一、实验目的 1. 了解异步串行通信原理; 2. 掌握MSP430异步串行通信模块及其编程方法; 二、实验任务 1. 了解MSP430G2553实验板USB转串口的通信功能,掌握串口助手的使用 (1)利用PC机的串口助手程序控制串口,实现串口的自发自收功能 为实现PC串口的自发自收功能,须现将实验板上的扩展板去下,并将单片机板上的BRXD和BTXD用杜邦线进行短接,连接图如下所示: 由此可以实现PC串口的自收自发功能。 (2)思考题:异步串行通信接口的收/发双方是怎么建立起通信的 首先在异步通信中,要求接收方和发送方具有相同的通信参数,即起始位、停止位、波特率等等。在满足上面条件的情况下,发送方对于每一帧数据按照起始位数据位停止位的顺序进行发送,而接收方则一直处于接受状态,当检测到起始位低电平时,看是采集接下来发送方发送过来的数据,这样一帧数据(即一个字符)传送完毕,然后进行下一帧数据的接受。这样两者之间就建立起了通信。 2. 查询方式控制单片机通过板载USB转串口与PC机实现串行通信 (1)硬件连接图

(2)C语言程序 采用SMCLK=1.0MHz时,程序如下:

其中SMCLK=1MHz,波特率采用的是9600,采用低频波特方式,则N=1000000/9600=104.1666…,故UCA0BR1=0,UCA0BR0=104,UCBRS=1; 当采用外部晶振时,时钟采用默认设置即可,程序如下:

也是采用了低频波特率方式,所以关于波特率设置的相关计算和上面是一样的。 (3)思考:如果在两个单片机之间进行串行通信,应该如何设计连线和编程? 由于在上面的连线中将单片机上的P1.2和BRXD相连,P1.1和BTXD相连,所以若要在两个单片机之间进行通信,首先应该将两个单片机的P1.2和P1.1交叉相连,并根据上面的程序进行相同的关于端口和波特率相关的设置即可实现两个单片机之间的通信。 3. (提高)利用PC机RS232通信接口与单片机之间完成串行通信 (1)硬件连接图 在实验时,采用了将PC机的串口com1直接连接至MSP430F149的孔型D9连接器上,G2553单片机的输出引脚P1.1和P1.2分别与F149单片机上的URXD1和UTXD1相连接,连接图如下所示:

通用串行总线接口——USB

通用串行总线接口——USB 我相信大家都对USB有一定的了解吧。但是也不能排除有不懂的,不过没关系,下面我就把这一计算机外设接口技术——USB来个全面介绍。我以几个章节来介绍USB的概念、基本特性以及它的应用,让大家对USB有个全面的认识。 概念篇 由于多媒体技术的发展对外设与主机之间的数据传输率有了更高的需求,因此,USB 总线技术应运而生。USB(Universal Serial Bus),翻译为中文就是通用串行总线,是由Conpaq,DEC,IBM,Inter,Microsoft,NEC和Northen Telecom等公司为简化PC与外设之间的互连而共同研究开发的一种免费的标准化连接器,它支持各种PC与外设之间的连接,还可实现数字多媒体集成。 USB接口的主要特点是:即插即用,可热插拔。USB连接器将各种各样的外设I/O端口合而为一,使之可热插拔,具有自动配置能力,用户只要简单地将外设插入到PC以外的总线中,PC就能自动识别和配置USB设备。而且带宽更大,增加外设时无需在PC内添加接口卡,多个USB集线器可相互传送数据,使PC可以用全新的方式控制外设。USB可以自动检测和安装外设,实现真正的即插即用。而USB的另一个显著特点是支持“热”插拔,即不需要关机断电,也可以在正运行的电脑上插入或拔除一个USB设备。随着时间的推移,USB将成为PC的标准配置。基于USB的外设将逐渐增多,现在满足USB要求的外设有:调制解调器,键盘,鼠标,光驱,游戏手柄,软驱,扫描仪等,而非独立性I/O连接的外设将逐渐减少。即主机控制式外设减少,智能控制控制外设增多。USB 总线标准由1.1版升级到2.0版后,传输率由12Mbps增加到了240Mbps,更换介质后连接距离由原来的5米增加到近百米。基于这点,USB也可以做生产ISDN以及基于视频的产品。如数据手套的数字化仪提供数据接口。USB总线结构简单,信号定义仅由2条电源线,2条信号线组成。 基本特性 https://www.doczj.com/doc/1d18988005.html,B的硬件结构 USB采用四线电缆,其中两根是用来传送数据的串行通道,另两根为下游(Downstream)设备提供电源,对于高速且需要高带宽的外设,USB以全速12Mbps的传输数据;对于低速外设,USB则以1.5Mbps的传输速率来传输数据。USB总线会根据外设情况在两种传输模式中自动地动态转换。USB是基于令牌的总线。类似于令牌环网络或FDDI基于令牌的总线。USB主控制器广播令牌,总线上设备检测令牌中的地址是否与自身相符,通过接收或发送数据给主机来响应。USB通过支持悬挂/恢复操作来管理USB总线电源。USB系统采用级联星型拓扑,该拓扑由三个基本部分组成:主机(Host),集线器(Hub)和功能设备。 主机,也称为根,根结或根Hub,它做在主板上或作为适配卡安装在计算机上,主机包含有主控制器和根集线器(Root Hub),控制着USB总线上的数据和控制信息的流动,每个USB系统只能有一个根集线器,它连接在主控制器上。 集线器是USB结构中的特定成分,它提供叫做端口(Port)的点将设备连接到USB总线上,同时检测连接在总线上的设备,并为这些设备提供电源管理,负责总线的故障检测和恢复。集线可为总线提供能源,亦可为自身提供能源(从外部得到电源),自身提供能源的设备可插入总线提供能源的集线器中,但总线提供能源的设备不能插入自身提供能源的集线器或支持超过四个的下游端口中,如总线提供能源设备的需要超过100mA电源时,不能同总线提供电源的集线器连接。 功能设备通过端口与总线连接。USB同时可做Hub使用。

第7章PIC单片机串行口及串行通信技术.pdf

第7章PIC18FXX2串行口及串行通信技术 ?教学目标 串行通信基本知识 串行口及应用 PIC18FXX2与PC机间通信软件的设计

本章知识点概要 ? 1.什么是串行通信,串行通信有什么优点? ? 2.串行通信协议 ? 3.什么是波特率? ? 4.PIC18FXX2中的串行口工作方式及应用 ? 5.PIC18FXX2点对点通信 ?针对PIC18FXX2串行口而言,概括为以下问题: 1、波特率设计,初始化SPBRG 2、设定通信协议(工作方式选择,SYNC) 3、如何启动PIC18FXX2接收、发送数据? 4、如何检查数据是否接收或发送完毕?

7.1 7.1 串行通信基本知识串行通信基本知识 ?在实际工作中,计算机的CPU 与外部设备之间常常要进行信息交换,一台计算机与其他计算机之间也要交换信息,所有这些信息交换均可称为通信。 ?通信方式有两种,即并行通信和串行通信。 ?采用哪种通信方式?----通常根据信息传送的距离决定例如,PC 机与外部设备(如打印机等)通信时,如果距离小于30 m ,可采用并行通信方式;当距离大于30 m 时,则要采用串行通信方式。PIC18FXX2单片机具有并行和串行二种基本通信方式。

并行通信 ?并行通信是指数据的各 位同时进行传送(发送 或接收)的通信方式。 ?优点:传送速度快; ?缺点:数据有多少位, 就需要多少根传送线。 ?例如,右图PIC18FXX2 单片机与外部设备之间 的数据传送就属于并行 通信。

串行通信 ?串行通信是指数据一位(bit)一位按顺序传送的通信方式。?优点:只需一对传输线(利用电话线就可作为传输线),大大降低了传送成本,特别适用于远距离通信; ?缺点:传送速度较低。假设并行传送N位数据所需时间为T,那么串行传送的时间至少为N*T,实际上总是大于N*T。 接收设备发送设备 D2 D1 D0 D3 D7 D6 D5 D4

SPI、I2C、UART三种串行总线的原理、区别及应用

简单描述: SPI 和I2C这两种通信方式都是短距离的,芯片和芯片之间或者其他元器件如传感器和芯片之间的通信。SPI和IIC是板上通信,IIC有时也会做板间通信,不过距离甚短,不过超过一米,例如一些触摸屏,手机液晶屏那些很薄膜排线很多用IIC,I2C能用于替代标准的并行总线,能连接的各种集成电路和功能模块。I2C 是多主控总线,所以任何一个设备都能像主控器一样工作,并控制总线。总线上每一个设备都有一个独一无二的地址,根据设备它们自己的能力,它们可以作为发射器或接收器工作。多路微控制器能在同一个I2C总线上共存这两种线属于低速传输; 而UART是应用于两个设备之间的通信,如用单片机做好的设备和计算机的通信。这样的通信可以做长距离的。UART和,UART就是我们指的串口,速度比上面三者快,最高达100K左右,用与计算机与设备或者计算机和计算之间通信,但有效范围不会很长,约10米左右,UART优点是支持面广,程序设计结构很简单,随着USB的发展,UART也逐渐走向下坡; SmBus有点类似于USB设备跟计算机那样的短距离通信。 简单的狭义的说SPI和I2C是做在电路板上的。而UART和SMBUS是在机器外面连接两个机器的。 详细描述: 1、UART(TX,RX)就是两线,一根发送一根接收,可以全双工通信,线数也比较少。数据是异步传输的,对双方的时序要求比较严格,通信速度也不是很快。在多机通信上面用的最多。 2、SPI(CLK,I/O,O,CS)接口和上面UART相比,多了一条同步时钟线,上面UART 的缺点也就是它的优点了,对通信双方的时序要求不严格不同设备之间可以很容易结合,而且通信速度非常快。一般用在产品内部元件之间的高速数据通信上面,如大容量存储器等。 3、I2C(SCL,SDA)接口也是两线接口,它是两根线之间通过复杂的逻辑关系传输数据的,通信速度不高,程序写起来也比较复杂。一般单片机系统里主要用来和24C02等小容易存储器连接。 SPI:高速同步串行口。3~4线接口,收发独立、可同步进行 UART:通用异步串行口。按照标准波特率完成双向通讯,速度慢 SPI:一种串行传输方式,三线制,网上可找到其通信协议和用法的 3根线实现数据双向传输 串行外围接口 Serial peripheral interface UART:通用异步收发器 UART是用于控制计算机与串行设备的芯片。有一点要注意的是,它提供了

华南理工网络教育计算机接口技术随堂练习答案(DOC)

计算机接口技术随堂练习答案 第一章微机接口技术概述 1.1. 8086微处理器可寻址访问的最大I/O空间是()。 A.1KB B.64KB C.640KB D.1MB 参考答案:B 2. 2.CPU的控制总线提供()。 A.数据信号流 B.所有存储器和I/O设备的时序信号及控制信号 C.来自I/O设备和存储器的响应信号 D.前面B和C两项 参考答案:D 3. 3. CPU的数据总线提供()。 A.数据信号流 B.所有存储器和I/O设备的时序信号及控制信号 C.来自I/O设备和存储器的响应信号 D.地址信号流 参考答案:A 4. 4. CPU的地址总线提供()。 A.数据信号流 B.所有存储器和I/O设备的时序信号及控制信号 C.来自I/O设备和存储器的响应信号 D.地址信号流 参考答案:D 5. 5. CPU在执行OUT DX,AL指令时,CPU往控制总线上送出的有效信号是()。 A. B C D. 参考答案:A 6. 6. CPU在执行OUT DX,AL指令时,CPU往地址总线上送出的有效信号是()。 A.DX寄存器所存放的地址B AL寄存器所存放的数据 C D. 参考答案:A 7.7. CPU在执行OUT DX,AL指令时,CPU往数据总线上送出的有效信号是()。 A.DX寄存器所存放的地址B AL寄存器所存放的数据 C D. 参考答案:B M/,DT/必须是(

11.11. 8086CPU在作总线操作时,遇到READY=L后可插入()。 A.1个等待周期 B 等待周期个数由具体情况所定 C 2个等待周期 D. 3个等待周期 参考答案:B 12.12. 8086系统中,SP()。 A.只能指向奇地址单元 B 只能指向偶地址单元 C最好指向偶地址单元 D. 最好指向奇地址单元 参考答案:B 13.13. 8086 系统配置在最大方式比最小方式增加的一片专用芯片是()。 A.总线驱动器74LS245 B 总线锁存器74LS373 C 总线控制器8288 D. 中断控制器8259 参考答案:C 14.14. 8086/8088CPU读总线周期中,T1~T4期间一直保持有效的信号是()。 A.M/ B C D. ALE 参考答案:A 15.15. 外总线(E-BUS)又称()。 A.微机总线 B 通信总线 C 系统总线 D. 板级总线 参考答案:B 16.16.8086/8088CPU最大方式下,读写存储器控制信号是()。 A.、B和M/ C 、 D. , 参考答案:D 17.17. 8086/8088CPU读写奇存储体1个字节时,,A0是()。 A.1,0 B 0,1 C 0,0 D. 1,1 参考答案:B 18.18. 8086的读周期时序在()时钟周期时,数据总线上有一段高阻态(浮空状态)。 A.T1 B:T2 C:T3 D:T4 参考答案:B 19.19. 8086输出周期中必须提供高电平“1”的控制信号是()。 A.DT/B C M/ D.

计算机接口技术..

计算机接口技术 既然是在数据传输率相同的情况下,那么,又说同步字符传输速度要高于 异步字符传输其原因是()。 ? B. 附加位信息总量少 关于EPROM下面的说法中,正确的是()。 ? D. EPROM 是可改写的,但它不能作为随机读写存储 在异步串行输入/输出接口中,实现并行数据与串行数据的转换的主要功能部件是()。? A. 发送和接收移位寄存器 若同时锁存8254的0通道和1通道的状态和计数值,则其对应的回读命令字为()。? A. 1100 0110 8259中断屏蔽寄存储器的作用是( )。 ? B. 禁止外设向CPU发中断请求 8259中断屏蔽寄存储器的作用是( )。 ? B. 禁止外设向CPU发中断请求 ?在Intel 8255A中可以进行按位置位/复位的端口是()。 ? C. 端口C 在正常EOI方式下, 中断结束命令是清除( )中的某一位。 ? B. ISR 8237DMA控制器不能控制的传送是()。 ? B. 外设与外设之间传送 当多片8259A级联使用时,对于从8259A,级联信号CAS2~CAS0是()。 ? A. 输入信号 在微型机中,主存是由()组成。 ? D. RAM和ROM AD574采用的转换原理是()。

? A. 逐次逼近式 在正常EOI方式下, 中断结束命令是清除( )中的某一位。 ? B. ISR 针式点阵打印机的主要缺点是()。 ? C. 噪音大 当多片8259A级联使用时,对于从8259A,级联信号CAS2~CAS0是()。 ? A. 输入信号 8086对下列中断优先级响应最高的请求是()。 ? C. 内部软件中断 设异步串行通信的波特率为2400波特,若传送1KB的数据,每帧信息占10个数据位,则传送所需的时间为()秒。 ? B. 4.3 对于开关型设备的控制,适合采用的I/O传送方式是( )。 ? A. 无条件 当用 8259A中断控制器时,其中断服务程序执行EOI命令的作用是()。 ? B. 清除该中断服务寄存器中的对应位,以允许同级或低级的中断能被响应波特率是串行通信中的一项重要参数,以下说明中正确的是() ? B. 波特率是指单位时间内传送的二进制数的位数 异步串行通信的收/发双方无需用同一时钟源,只需用同一频率的收发时钟,就能保证可靠的通信。() 答案对 DMA方式的I/O操作与程序查询方式和中断控制式相比,用硬件控制代替了那里的软件控制,且无需经CPU传数,因此数据传送速度明显提高。() 答案对 静态随机存储器的内容可以永久性保存。()

SPI串行总线接口的Verilog实现

SPI串行总线接口的Verilog实现 摘要:集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(Serial Peripheral Bus)总线是Motorola公司提出的一个同步串行外设接口,容许CPU 与各种外围接口器件以串行方式进行通信、交换信息。本文简述了SPI总线的特点,介绍了其4条信号线,SPI串行总线接口的典型应用。重点描述了SPI串行总线接口在一款802.11b芯片中的位置,及该接口作为基带和射频的通讯接口所完成的功能,并给出了用硬件描述语言Verilog HDL 实现该接口的部分程序。该实现已经在Modelsim 中完成了仿真, 并经过了FPGA 验证, 最后给出了仿真和验证的结果。 在SOC设计中,利用EDA 工具设计芯片实现系统功能已经成为支撑电子设计的通用平台.并逐步向支持系统级的设计方向发展。而且,在设计过程中,越来越强调模块化设计。 SPI总线是Motorola公司提出的一个同步串行外设接口,具有接口线少、通讯效率高等特点。本文给出的是利用Verilog HDL实现的SPI总线模块,该模块是802.11b无线局域网芯片中一个子模块,该模块完成了芯片中基带(base band)与RF的通讯工作. 1 SPI总线接口概述 SPI(Serial Parallel Bus)总线是Motorola公司提出的一个同步串行外设接口,允许CPU 与各种外围接口器件(包括模/数转换器、数/模转换器、液晶显示驱动器等)以串行方式进行通信、交换信息。他使用4条线:串行时钟线(SCK)、主机输入/从机输出线(MISO)、主机输出/从机输入线(MOSI)、低电平有效的使能信号线(CS)。这样,仅需3~4根数据线和控制线即可扩展具有SPI接口的各种I/O 器件其典型结构如图1所示。 SPI总线具有以下特点: (1)连线较少,简化电路设计。并行总线扩展方法通常需要8根数据线、8~16根地址线、2~3根控制线。而这种设计,仅需4根数据和控制线即可完成并行扩展所实现的功能。 (2)器件统一编址,并与系统地址无关,操作SPI独立性好。 (3)器件操作遵循统一的规范,使系统软硬件具有良好的通用性。 2 SPI总线接口的设计与实现 该模块是802.1lb无线局域网芯片中的一子模块,其在芯片中的位置如图2所示。

串行接口及串行通信技术

第9章串行接口及串行通信技术 难点 ?串行通信的四种工作方式 要求 掌握: ?串行通信的控制寄存器 ?串行通信的工作方式0和方式1 了解: ?串行通信的基础知识 ?串行通信的工作方式2和方式3 9.1 串行通信的基础知识 9.2 MCS-51单片机串行通信的控制寄存器 9.3 MCS-51单片机串行通信工作方式 9.1 串行通信的基础知识 串行数据通信要解决两个关键技术问题,一个是数据传送,另一个是数据转换。所谓数据传送就是指数据以什么形式进行传送。所谓数据转换就是指单片机在接受数据时,如何把接收到的串行数据转化为并行数据,单片机在发送数据时,如何把并行数据转换为串行数据进行发送。 9.1.1 数据传送 单片机的串行通信使用的是异步串行通信,所谓异步就是指发送端和接收端使用的不是同一个时钟。异步串行通信通常以字符(或者字节)为单位组成字符帧传送。字符帧由发送端一帧一帧地传送,接收端通过传输线一帧一帧地接收。 1. 字符帧的帧格式 字符帧由四部分组成,分别是起始位、数据位、奇偶校验位、停止位。如图9.1所示: 1)起始位:位于字符帧的开头,只占一位,始终位逻辑低电平,表示发送端开始发送一帧数据。 2)数据位:紧跟起始位后,可取5、6、7、8位,低位在前,高位在后。 3)奇偶校验位:占一位,用于对字符传送作正确性检查,因此奇偶校验位是可选择的,共有三种可能,即奇偶校验、偶校验和无校验,由用户根据需要选定。 4)停止位:末尾,为逻辑“1”高电平,可取1、1.5、2位,表示一帧字符传送完毕。 图9.1 字符帧格式

异步串行通信的字符帧可以是连续的,也可以是断续的。连续的异步串行通信,是在一个字符格式的停止位之后立即发送下一个字符的起始位,开始一个新的字符的传送,即帧与帧之间是连续的。而断续的异步串行通信,则是在一帧结束之后不一定接着传送下一个字符,不传送时维持数据线的高电平状态,使数据线处于空闲。其后,新的字符传送可在任何时候开始,并不要求整倍数的位时间。 2. 传送的速率 串行通信的速率用波特率来表示,所谓波特率就是指一秒钟传送数据位的个数。每秒钟传送一个数据位就是1波特。即:1波特=1bps(位/秒) 在串行通信中,数据位的发送和接收分别由发送时钟脉冲和接收时钟脉冲进行定时控制。时钟频率高,则波特率高,通信速度就快;反之,时钟频率低,波特率就低,通信速度就慢。 9.1.2 数据转换 MCS-51单片机只能处理8位的并行数据,所以在进行串行数据的发送时,要把并行数据转换为串行数据。而在接收数据时,只有把接收的串行数据转换成并行数据,单片机才能进行处理。 能实现这种转换的设备,称为通用异步接收发送器(Universal Asynchronous Receiver /Transmitter)。这种设备已集成到单片机内部,称为串行接口电路。串行接口电路为用户提供了两个串行口缓冲寄存器(SBUF),一个称为发送缓存器,它的用途是接收片内总线送来的数据,即发送缓冲器只能写不能读。发送缓冲器中的数据通过TXD引脚向外传送。另一个称为接收缓冲器,它的用途是向片内总线发送数据,即接收缓冲器只能读不能写。接收缓冲器通过RXD引脚接收数据。因为这两个缓冲器一个只能写,一个只能读,所以共用一个地址99H。串行接口电路如图9.2所示。 图9.2 MCS-51串行口寄存器结构 9.2 MCS-51单片机串行通信的控制寄存器 1. 串行口控制寄存器(SCON) SCON是MCS-51单片机的一个可位寻址的专用寄存器,用于串行数据通信的控制。单元地址为 位地 9FH 9EH 9DH 9CH 9BH 9AH 99H 98H 址 位符 SM0SM1SM2REN TB8RB8TI RI 号 各位的说明如下: 1)SM0、SM1——串行口工作方式选择位 其状态组合和对应工作方式为:

接口技术练习题

第五章 串行通信和可编程串行接口芯片 一·单项选择题 1. 与并行通信相比,串行通信适用于( ① )情况。 ① 远距离传送 ② 快速传送 ③近距离传送 ④ 传送信号要求高 2. 当芯片8251的=0 R W =O ,D /C =l 时,则( ① ) ①允许8251接受CPU 的命令字 ②8251向CPU 送状态字 ③CPU 往8251送数据 ④8251向CPU 送数据 3. 设串行异步通信时,数据传送的速率是400字符/秒,每个字符为12位二进制数据, 则传送的波特率是( ③ ) ① 12000 ②2400 ③ 4800 ④9600 4.串行接口芯片8251A ( ③ )。 ① 只能作异步传送 ②只能作同步传送 ③既能作异步传送又能能作同步传送 ④ 可作并行传送 5.串行接口中,并行数据和串行数据的转换是用( ② )来实现的。 ① 数据寄存器 ② 移位寄存器 ③ 锁存器 ④ A/D 转换器 6.串行异步通信的实现必须做到(③ )。 ①通信双方有同步时钟传送,以实现同步 ②一块数据传送结束时,用循环冗余校验码进行校验 ③以字符为传送信息的单位,按约定配上起始位、停止位和校验位 ④块与块之间用同步字符01111110隔开 7.在异步串行通信中若要传送扩展ASCII 码,则异步串行码字符格式第8位数据(④ )。 ① 不传送 ② 恒为0 ③恒为1 ④ 为有用数据 8.RS-232C 标准电气特性规定逻辑“0”电平为( ④ )。 ① 0~0.4V ② 0~0.8V ③ -3~ -15V ④ +3~+15V 9.在下列总线中,( ② )是一种串行总线接口。 ① PC/XT ② USB ③ PCI ④ ISA 10.在异步串行通信中,波特率是指( ① )。 ① 每秒钟传送的二进制位数 ②每秒钟传送的字节数 ③每秒钟传送的字符数 ④ 每秒钟传送的数据帧数 11. RS-232C 是一个( ③ )标准。 ① 片总线 ② 内总线 ③ 串行通信 ④电流环 12.8251A 异步工作,其数据格式中有8位数据位,1位偶校验位,2位停止位。要求每秒 传送1600个字符,需选用传送速率为( ③ )。 ①9600波特 ② 17600波特 ③ 19200波特 ④ 12800波特 13. 上题中若波特率系数等于16,则发送时钟或接收时钟频率是( ② )。 ①9.6 KHz ②307.2 KHz ③192 KHz ④153.6KHz 14. CPU 送给8251A 的并行数据,由( ① )串行发送给外设的. ①TXD 端 ②RXD 端 ③RXC 端 ④TXC 端 15. 下列不属于UART 通信错误标志的是(④)。 ①奇偶错误 ②帧错误 ③溢出错误 ④ 循环错误 16.同步串行通信与异步串行通信比较,以下说法错误的是( ④ ) ①异步通信按字符成帧,同步通信以数据块成帧

串行接口及串行通信技术

第9章串行接口及串行通信技术 U 难点 ?串行通信的四种工作方式 @要求 掌握: ?串行通信的控制寄存器 ?串行通信的工作方式0和方式1 了解: ?串行通信的基础知识 ?串行通信的工作方式2和方式3 9.1 串行通信的基础知识 9.2 MCS-51单片机串行通信的控制寄存器 9.3 MCS-51单片机串行通信工作方式 9.1 串行通信的基础知识 串行数据通信要解决两个关键技术问题,一个是数据传送,另一个是数据转换。所谓数据传送就是指数据以什么形式进行传送。所谓数据转换就是指单片机在接受数据时,如何把接收到的串行数据转化为并行数据,单片机在发送数据时,如何把并行数据转换为串行数据进行发送。 9.1.1 数据传送 单片机的串行通信使用的是异步串行通信,所谓异步就是指发送端和接收端使用的不是同一个时钟。异步串行通信通常以字符(或者字节)为单位组成字符帧传送。字符帧由发送端一帧一帧地传送,接收端通过传输线一帧一帧地接收。 1. 字符帧的帧格式 字符帧由四部分组成,分别是起始位、数据位、奇偶校验位、停止位。如图9.1所示: 1)起始位:位于字符帧的开头,只占一位,始终位逻辑低电平,表示发送端开始发送一帧数据。 2)数据位:紧跟起始位后,可取5、6、7、8位,低位在前,高位在后。 3)奇偶校验位:占一位,用于对字符传送作正确性检查,因此奇偶校验位是可选择的,共有三种可能,即奇偶校验、偶校验和无校验,由用户根据需要选定。 4)停止位:末尾,为逻辑“1”高电平,可取1、1.5、2位,表示一帧字符传送完毕。 图9.1 字符帧格式 异步串行通信的字符帧可以是连续的,也可以是断续的。连续的异步串行通信,是在一个字符格式的停止位之后立即发送下一个字符的起始位,开始一个新的字符的传送,即帧与帧之间是连续的。而断续的异步串行通信,则是在一帧结

通用串行总线(USB)原理及接口设计

通用串行总线(USB)原理及接口设计 类别:接口电路阅读:1964 作者:广州五山华南理工大学电子与通信工程系98级硕士研究生(510641)刘炎冯穗力叶梧来源:《电子技术应用》 通用串行总线(USB)原理及接口设计摘要:以USB1.1为基础讨论了USB的基本原理、工作流程、通信协议和相应的关键技术,并介绍了一种USB接口的10M以太网卡的设计方案。已经发布的USB2.0支持480Mbps的高速数据传输,这将使PC可以通过USB接口传输更高速更大量的数据。还论述了USB2.0的改进和优点。关键词:通用串行总线(USB) 设备驱动程序WDM 通用串行总线USB(UniversalSerialBus)是Intel、Microsoft等大厂商为解决计算机外设种类的日益增加与有限的主板插槽和端口之间的矛盾而于1995年提出制定的。它是一种用于将适用USB的外围设备连接到主机的外部总线结构,主要用在中速和低速的外设。USB同时又是一种通信协议,支持主机和USB的外围设备之间的数据传输。目前较多设备支持的是USB1.1 1 ,最新的USB2.0 3 已于2000年4月正式发布。 USB设备具有较高的数据传输率、使用灵活、易扩展等优点。 USB1.1有全速和低速两种方式,低速方式的速率为1.5Mbps,支持一些不需要很大数据吞吐量和很高实时性的设备,如鼠标等;全速模式为12Mbps,可以外接速率更高的外设。在刚刚发布的USB2.0中,增加了一种高速方式,数据传输率达到480Mbps,可以满足更加高速的外设的需要。 安装USB设备不必打开主机箱,它支持即插即用(PlugandPlay) 和热插拔(HotPlug)。当插入USB设备的时候,主机检测该外设并且通过自动加载相关的驱动程序来对该设备进行配置,并使其正常工作。 1USB的结构与工作原理 1.1物理结构 USB的物理拓扑结构如图1所示。在USB2.0中,高速方式下Hub使全速和低速方式的信令环境独立出来,图2中显示了高速方式下Hub的作用。 通过使用集线器(Hub)扩展可外接多达127个外设。USB的电缆有四根线,两根传送的是5V的电源,另外的两根是数据线。功率不大的外围设备可以直接通过USB总线供电,而不必外接电源。USB总线最大可以提供5V500mA电流,并支持节约能源的挂机和唤醒模式。 1.2USB设备逻辑结构 USB的设备可以分成多个不同类型,同类型的设备可以拥有一些共同的行为特征和工作协议,这样可以

RS485串行通信电路设计

RS485串行通信接口电路的总体设计 在电参数仪的设计中,数据采集由单片机AT89C52负责,上位PC机主要负责通信(包括与单片机之间的串行通信和数据的远程通信),以及数据处理等工作。在工作中,单片机需要定时向上位PC机传送大批量的采样数据。通常,主控PC机和由单片机构成的现场数据采集系统相距较远,近则几十米,远则上百米,并且数据传输通道环境比较恶劣,经常有大容量的电器(如电动机,电焊机等)启动或切断。为了保证下位机的数据能高速及时、安全地传送至上位PC机,单片机和PC机之间采用RS485协议的串行通信方式较为合理。 实际应用中,由于大多数普通PC机只有常用的RS232串行通信口,而不具备RS485通信接口。因此,为了实现RS485协议的串行通信,必须在PC机侧配置RS485/RS232转换器,或者购买适合PC机的RS485卡。这些附加设备的价格一般较贵,尤其是一些RS485卡具有自己独特的驱动程序,上位PC机的通信一般不能直接采用WINDOW95/98环境下有关串口的WIN32通信API函数,程序员还必须熟悉RS485卡的应用函数。为了避开采用RS485通信协议的上述问题,我们决定自制RS485/RS232转换器来实现单片机和PC机之间的通信。 单片机和PC机之间的RS485通信硬件接口电路的框图,如下图1所示。 从图1可看出,单片机的通信信号首先通过光隔,然后经过RS485接口芯片,将电平信号转换成电流环信号。经过长距离传输后,再通过另一个RS485接口芯片,将电流环信号转换成电平信号。 图1单片机与PC机之间的RS485通信硬件接口电路的框图(略) 该电平信号再经过光电隔离,最后由SR232接口芯片,将该电平信号转换成与PC机RS232端口相兼容的RS232电平。由于整个传输通道的两端均有光电隔离,故无论是PC机还是单片机都不会因数据传输线上可能遭受到的高压静电等的干扰而出现“死机”现象。 2接口电路的具体设计 2-1单片机侧RS485接口电路的设计 单片机侧RS485接口电路如图2所示。 AT89C52单片机的串行通信口P3 0(RXD)和P3 1(TXD)的电平符合TTL/CMOS标准(逻辑“0”的电平范围为0V~0.8V,逻辑“1”的电平为2 4V~VCC),它们首先通过光电隔离器件6N137隔离,以保护单片机不受传输通道的干扰影响,其中T01和?T02是为了增加光隔输入端的驱动能力。光隔6N137的左侧电源与单片机相同,右侧必须采用另一组独立的+5V电源,且两组电源不能供电。 图2单片机侧RS485接口电路

第7章 AT89S51串行口及串行通信技术

第7章 AT89S51串行口及串行通信技术 前几章所涉及的数据传送方式都是并行传送,如AT89S51和外接8位数据的并行传送。这时外设和单片机间的距离都很短,若很长的话,要实行并行的形式显然要用很多的电缆线,这在布线和经济上都不是适合的。因此,本章引入串行传送方式,只用一根数据线传送数据的位信号,同时加上一些通信控制信号线,以满足远距离数据传送的需要,如因特网终端和客户端之间的数据通信。在相同条件下,串行传送速度比并行慢,串行是在牺牲速度的基础上节省成本的。本章的知识点如下: 1.了解数据通信中的并行/串行、同步/异步、单工/双工以及波特率的概念。 2.掌握为什么双机通信时要有通信协议以及通信协议的主要内容。 3.了解AT89S51串行接口的基本结构。 4.理解串行接口控制寄存器SCON 各个位的含义。 5.掌握串行接口的4种工作方式及其实际应用,掌握不同工作方式下的波特率计算方法。 6.理解串行接口中断的概念。 7.了解AT89S51与PC 机间的硬件系统及设计。 重点: 1.不同工作方式下的波特率计算。 2.串口收/发数据的协议及流程。 3.串口4种工作方式的应用。 难点: 1.AT89S51串行接口的基本结构。 2.MAX232和PC 机的通信。 7.1 串行通信基本知识 本节介绍串行通信的基本介绍,同时介绍通信中常用到的“波特率”的概念,为以下各节学习作基础。 7.1.1 数据通信 1. 通信:计算机的CPU 与外设间的信息交换,计算机与计算机间的信息交换。 2. 分类及各方式的特点 (1) 并行通信 定义:数据的各位同时进行传送(发送或接收)的通信方式。 特点:优点——传送速度快;缺点——数据多少位就要多少根传送线,对于位数多,传送远的通信不合适。 (2) 串行通信 定义:数据是一位一位按顺序传送的通信方式。 特点:优点——只需一对传输线(如电话线),大大降低了传送成本,适合于远距离通信;缺点——传送速度相对较慢。 闽江学院电子系 薛小铃

接口考试试题第5章(串行通信和8251)汇编

一、选择题 1.若用8251A进行同步串行通信,速率为9600波特,问在8251A时钟引脚TXC#和RXC#上的信号频率应取()。 A.2400Hz B.4800Hz C.9600Hz D.19200Hz 答案应选:C 2.串行接口器件8251A()。 A、只能作异步传送 B、只能作同步传送 C、A和B均可 D、可作并行传送 C 5.在异步串行的通信中若要传送扩展ACCII码,则异步串行码字符格式的第8位数据()。 A、不传送 B 恒为0 C 恒为1 D 为有用数据 D 7.在串行数据通信接收端安装modem是为了()。 A、把并行数据转换成串行数据 B、把串行数据转换成并行数据 C、把数据信号转换成模拟信号 D、把模拟信号转换成数据信号 D 10.在异步通信中,传送最高位为奇校验位的标准ASCII码,采用1位起始位和1位停止位。当该ASCII码为5AH时,有串行口发送的帧格式为()。 A 0010110111 B 0010110101 C 0110110101 D 1010110100 A 15.RS-232标准规定其逻辑1电平为()。 A.-5~0V B.0V~+5V C -3V~-15V D.+3V~+15V C 17.8251A用作异步串行通信接口,如果设定波特率因子为16,而发送器与接收器时钟频率为19200Hz,则波特率为()。 A、1200波特 B 2400波特 C 9600波特 D 19200波特 A 18.异步串行接口电路在接受时,如果接收时钟频率为波特率的16倍,一旦确定串行接受线上出现起始位的电平后,对串行接受线进行检测的时间间隔为()。 A、1个时钟周期 B、4个时钟周期 C、8个时钟周期 D、16个时钟周期 D 21.在有关串行通信的叙述中,正确的是()。 A、串行通信最少只需要一条导线 B、所谓半双工是指在一半工作时间内工作 C、异步串行通信是以字符为单位逐个发送和接收 D、同步串行通信的收、发双方可使用各自独立的本地时钟 C 23.为了防止在计算机远程通信中的信号畸变,必须采用一种辅助的外设调制解调器,在接

微机与接口技术期末考试试题及答案(3套)

**大学2007~2008学年第二学期期末考试试卷答案及评分标准

3.某计算机的字长是16位,它的存储器容量是64KB,若按字编址那么它的最大 寻址范围是(2)。 (1)64K字(2)32K字(3)64KB(4)32KB 4.某一SRAM芯片的容量是512×8位,除电源和接地线外,该芯片的其他引脚最 少应为(4)根。 (1)25(2)23(3)21(4)19 5.8088/8086的基本总线周期由(2)个时钟周期组成。 (1)2(2)4(3)5(4)6 6.在8086系统中中断号为0AH,则存放中断向量的内存起始地址为(2)。 (1)0AH(2)28H(3)4AH(4)2AH 7.采用两片8259A可编程中断控制器级联使用,可以使CPU的可屏蔽中断扩大到 (1)。 (1)15级(2)16级(3)32级(4)64级 8.当IF=0,8088/8086CPU不响应(2)中断请求。 (1)INT N(2)INTR(3)NMI(4)INTO 9.8253可编程定时器/计数器中,其二进制的最大计数初值为(3)。 (1)65536(2)7FFFH(3)0000H(4)FFFFH 10.8086/88CPU在响应中断时要执行(2)个中断响应周期。 (1)1个(2)2个(3)3个(4)4个 11.中断向量表是存放(2)的存储区域. (1)中断类型号(2)中断服务程序入口处地址 (3)中断断点地址(4)程序状态字 12.INT8255中可用置位/复位控制字对(3)的各位进行按位操作以实现某些控制 功能。 (1)A口(2)B口(3)C口(4)数据总线缓冲器 11.RS-232C标准规定信号“0”和“1”的电平是(3)。 (1)0V和+3V~+15V(2)-3V~-15V和0V (3)+3V至+15V和-3V~-15V(4)+3V~+15V和-0V 12.对于开关型设备的控制,适合采用的I/O传送方式是(1)。 (1)无条件(2)查询(3)中断(4)DMA 13.传送数据时,占用CPU时间最长的传送方式是(1)。 (1)查询(2)中断 (3)DMA(4)IOP 14.既然是在数据传输率相同的情况下,那么,又说同步字符传输速度要高于 异步字符传输其原因是(2)。 (1)发生错误的概率少(2)附加位信息总量少 (3)双方通信同步(4)字符之间无间隔 15.巳知DRAM2118芯片容量为16K×1位,若组成64KB的系统存储器,则组成的芯片 组数和每个芯片组的芯片数为(4). (1)2和8(2)1和16(3)4和16(4)4和8 16.INT8259中断屏蔽寄存储器的作用是(2). (1)禁止CPU响应外设的中断请求(2)禁止外设向CPU发中断请求 (3)禁止软中断请求(4)禁止NMI中断请求 17.在正常EOI方式下,中断结束命令是清除(2)中的某一位. (1)IRR(2)ISR

计算机接口技术习题样本

《计算机接口技术》习题3 一、单项选择题 1.当8255A的PC4~PC7全部为输出线时, 表明8255A的A端口工作方式是( ) 。 A. 方式0 B. 方式1 C. 方式2 D. 任何方式 2. 由于8086有单独的I/O指令, 因此其I/O端口( ) 。 A. 只能安排在I/O空间内 B. 只能安排在存储空间内 C. 既可安排在I/O空间, 也可安排在存储空间 D. 可安排在系统的整个空间 3. 下列总线中属于系统总线的是( ) 。 A. IEEE-488 B. RS-232C C. EISA D. RS-449 4. 当前PC机上都带有USB接口, 这里的USB是一种( ) 。 A. 接口芯片 B. 并行总线标准 C. 串行总线标准 D. 外围设备 5. 下列总线中属于外部总线标准的( ) 。 A. EISA B. STD C. PCI

D. A, B, C都不是 6.在接口电路中, 一般要求( ) 。 A.输入三态缓冲、输出三态缓冲 B.输入三态缓冲、输出锁存 C.输入锁存、输出三态缓冲 D.输入三态锁存、输出锁存 7.8255A的方式选择控制字为80H, 其含义是( ) 。 A.A、 B、 C 口全为输入 B.A口为输出, 其它为输入 C.A、 B为方式0 D.A、 B、 C口均为方式0输出 8.设置特殊屏蔽方式的目的是( ) 。 A.屏蔽低级中断 B.响应高级中断 C.响应低级中断 D.响应同级中断 9.在8259A中, 寄存器IMR的作用是( ) 。 A.记录处理的中断请求 B.判断中断优先级的级别 C.有选择的屏蔽 D.存放外部输入的中断请求信号 10.二个8259A级联最多可控制( ) 个中断源。 A.8 B.12 C.16 D.15

第5部分 89C51串行口及串行通讯技术

第5部分 89C51串行口及串行通讯技术 1、什么是串行异步通信,它有哪些作用? 答:在异步通信中,数据和字符是一帧一帧地传送。 在帧格式中,一个字符由4个部分组成:起始位、数据位、奇偶校验位和停止位。 首先起始位(0)信号只占一位,用来通知接收设备一个待接收的字符开始到达; 然后是5位~8位数据(规定低位在前,高位在后);下来是奇偶校验位(可省略),也可用这一位(1/0)来确定这一帧中的字符所代表信息的性质(地址/数据等);最后是停止位(1),用来表征字符的结束,是一位高电位,可以是1位、1.5位、2位。 通讯采用帧格式,无需同步字符;存在空闲位也是异步通讯的特征之一。 2、89C51单片记得串行口由哪些功能部件组成?各有什么作用? 答:89C51单片机的串行接口由发送缓冲器SBUF、接收缓冲器SBUF、输入移位寄存器、串行接口控制寄存器SCON、定时器T1构成的波特率发生器等部件组成。 由发送缓冲器SBUF发送数据,接收缓冲器SBUF接收数据,串行接口通讯的工作方式选择、接收和发送控制及状态标志等均由串行接口控制寄存器SCON控制和指示,定时器T1产生串行通讯所需的波特率。 3、简述串行口接收和发送数据的过程。 答:串行接口的接收和发送是对同一地址(99H)两个物理空间的特殊功能寄存器SBUF进行读或写的。当向SBUF发“写”命令时(执行“MOV SBUF,A”指令),即向发送缓冲器SBUF装载并开始由TXD引脚向外发送一数据,发送完便使发送中断标志位TI=1。 在满足串行接口接收中断标志位RI(SCON.0)=0的条件下,置允许接收位REN(SCON.4)= 1,就会接收一帧数据进入移位寄存器,并装载到接收SBUF中,同时使RI=1,当发读SBUF命令时(执行“MOV A,SBUF”指令),便由接收缓冲器SBUF取出信息通过8051内部总线送CPU。 4、89C51串行口有几种工作方式?有几种帧格式?各工作方

串行通信接收接口(数码管)

串行通信接收接口(数码管) 基本要求:掌握RS232串口的协议,运用DE2的串口进行接收PC的数据。波特率为9600,8位数据位,无奇偶校验,一个停止位。 硬件验证要求:在PC机通过“串行通信调试助手”软件 发送数据,DE2通过串口接收数据,完成接收数据后在数码管上面进行显示。 在完成基本要求的基础上,可以通过拨码开关来选择奇偶校验的类别。 程序代码: (一)顶层列化程序 module rx_top(CLOCK_50,KEY,UART_RXD,HEX1,HEX0); input CLOCK_50; input [0:0]KEY; input UART_RXD; output [6:0]HEX1,HEX0; wire clk_out; clk_div u1 (.clk_in(CLOCK_50),.nreset(KEY[0]),.clk_out(clk_out)); wire [7:0]data; rx u2 (.clk(clk_out),.nreset(KEY[0]),.rxd(UART_RXD),.data(data)); HEX u3 (.SW(data),.HEX1(HEX1),.HEX0(HEX0)); Endmodule (2)接收模块代码 module rx(clk,nreset,rxd,data); input clk,rxd,nreset; //clk=0.1152MHz output [7:0] data; reg [3:0] t; reg [3:0] s; reg [7:0] data0; reg [7:0] data; always @(posedge clk or negedge nreset ) //baud=9600hz if(nreset ==0) begin data <= 8'h00; s <= 0; t <= 0; data0 <= 8'h00; end

相关主题
文本预览
相关文档 最新文档