当前位置:文档之家› 数字集成电路必备考前复习总结

数字集成电路必备考前复习总结

数字集成电路必备考前复习总结
数字集成电路必备考前复习总结

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路

或系统

第一章引论

1、数字IC芯片制造步骤

设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计)

制版:根据版图制作加工用的光刻版

制造:划片:将圆片切割成一个一个的管芯(划片槽)

封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连

测试:测试芯片的工作情况

2、数字IC的设计方法

分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证

SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式

3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦

之类的)

NRE (Non-Recurrent Engineering) 成本

设计时间和投入,掩膜生产,样品生产

一次性成本

Recurrent 成本

工艺制造(silicon processing),封装(packaging),测试(test)

正比于产量

一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数

功耗:emmmm自己算

4、EDA设计流程

IP设计系统设计(SystemC)模块设计(verilog)

综合

版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys版权):

可以相互转化

.db(不可读).lib(可读)

加了功耗信息

.sdb .slib

第二章器件基础

1、保护IC的输入器件以抗静电荷(ESD保护)

2、长沟道器件电压和电流的关系:

3、短沟道器件电压和电流关系

速度饱和:当沿着沟道的电场达到临界值ξC时,载流子的速度由于散射效应(载流子之间的碰撞)而趋于饱和。

ξC取决于掺杂浓度和外加的垂直电场强度

器件在V DS达到V GS --V T 之前就已经进入饱和状态,所以与相应的长沟道器件相比,短

沟道器件饱和区范围更大

反面整理P63 3.3.2 静态状态下的MOS晶体管相关参数以及公式(尤其是速度饱和)

4、MOS管二阶效应

阈值变化:随着器件尺寸的缩小,阈值电压变成与L、W、V DS有关

短沟效应(漏端感应势垒降低(DIBL)):电压控制耗尽区宽度,V DS提高将会导致势垒降低,甚至过高的V DS将会导致源漏短路,称为源漏穿流

窄沟效应:沟道耗尽区并不立即在晶体管边沿终止,而是会向绝缘场氧下面延伸一些,栅电压必须维持这一额外的耗尽电荷才能建立一条导电沟道,在W值较小时将会引起阈值电压升高

亚阈值导通:在V GS接近甚至略小于V T时,I D仍然存在

热载流子效应:

原因:小尺寸器件中的强电场引起高能热电子与晶格碰撞产生电子空穴对,引起衬底电流;电子在强总校电厂的作用下穿过栅氧,引起栅电流。

影响:改变阈值电压、使器件参数变差,特性不稳,电路失效;衬底电流引起噪声以

及动态节点漏电。

处理方法:LDD(lightly doped drain):在源漏区与沟道间加一段电阻率较高的轻掺杂

区。可以减小热载流子效应,增大源漏端耐压范围,但是轻掺杂区会导致器件跨导减

小,漏源电流减小

闩锁效应:寄生双极型晶体管互相提供基极电流,正反馈至短路

第三章互连线

1、MOS IC的三层互连线

上层金属互连线

中层的多晶硅连线

下层的扩散区连线

2、互连线模型:集总RC模型(Elmore延时)

集总RC 模型(考虑导线电阻):导线分段,每段导线的导线电阻集总成一个电阻R,电容集总成一个电容 C

第四章反相器

1、再生性:再生性保证一个受干扰的信号在通过若干逻辑级后逐渐收敛回到额定电平中的

一个

具备再生性的条件:过渡区增益绝对值大于一

2、扇出系数:输出端连接同类门的最多个数

扇入系数:单个逻辑门能够承受的数字信号输入最大量

3、静态CMOS反相器的特点:

1、输出高电平和低电平分别为VDD和GND。信号电压摆幅等于电源电压,噪声容限很

大;

2、采用无比逻辑,逻辑电平与器件尺寸无关,晶体管可以采用最小尺寸,且翻转时不

会因为尺寸设计原因出现错误,稳定性高

3、输出阻抗小,稳态时在输出和VDD或GND之间总存在一条具有有限电阻的通路,

对噪声和干扰不敏感

4、输入阻抗高,不消耗直流输入电流,理论上可以驱动无限多个门

5、不考虑泄露功耗的情况下,没有静态功耗(CMOS取代NMOS的原因)

4、CMOS反相器静态特性

开关阈值:定义为V M=V out的点,在这一区域由于V GS=V DS,上管下管都是饱和的(长沟短沟分为速度饱和和普通饱和),使通过两个晶体管的电流相等即可得到V M的解析表达式,推导过程见书上P134,反面自己推导一遍。

噪声容限[V IL,V IH]:根据定义,是反相器增益为-1时的输入,但是太难算了,就用了线性近似,推导过程见书上P136,反面自己推导一遍。

5、CMOS反相器动态特性

电容:巴拉巴拉巴拉巴拉一堆公式反正感觉没啥用

传播延时:在输入和输出反转的50%之间的时间,正比于这个电路的下拉电阻和负载电

容所形成的时间常数

传播延时性能优化设计:

减小负载电容(自身扩散电容,连线电容,扇出电容)

加大晶体管尺寸

优点:增加了驱动能力(增大充放电电流,降低导通电阻)

缺点:扩散电容增大,从而使负载电容增大

栅电容增加,使前一级的扇出电容增加

提高电源电压

缺点:V DD增加到一定程度,对延时的优化效果不明显

功耗增加

出于可靠性烤炉,V DD具有严格的上限

反相器链的性能优化:要求每一级的尺寸时与其相邻前后两个反相器尺寸的几何平均数(Cg为输入的栅电容)

这一段一定要回头看书看PPT啊!!!!!!!!!!!!!

5、反相器功耗分析(感觉好像都会,回头扫一眼就成,还有能连延时积啥的)

动态功耗:对负载电容充电和放电造成的功耗

短路功耗:开关过程中电源和地之间顺吉纳的直流通路造成的功耗

静态功耗:稳定输出高电平或低电平时的直流功耗,漏电流造成

第六章CMOS组合逻辑门的设计

1、静态CMOS组合逻辑电路

在每一时间(除切换期间)每个门的输出总是通过低阻连至VDD 或GND。

稳态时,门的输出值总是由电路所实现的布尔函数决定。

它不同于动态电路:动态电路把信号值暂时存放在高阻抗电路节点电容上。

2、静态电路类型:

互补CMOS

有比逻辑(伪NMOS和DCVSL)

传输晶体管逻辑(Pass-Transistor Logic)

3、互补CMOS经验规则:

晶体管看作是由其栅端信号控制的开关。

PDN用NMOS器件,PUN用PMOS器件(否则会有阈值损失)

实现N输入的逻辑门需要晶体管数目为2N。

4、互补CMOS静态特性:

高噪声容限

没有静态功耗

直流电压传输特性和噪声容限与数据输入模式有关

5、互补CMOS传播延时(我觉得这里可以考一道速度快慢的定性分析)

e.g.

6、互补CMOS尺寸设计:为了使NAND网的下拉延时与最小尺寸的反相器相同,在PDN串联网络中的NMOS器件必须设计成两倍宽(同样功能晶体管电容减半),以使NAND下拉网络的等效电阻与反相器相同而PMOS器件可以维持不变。

7、互补CMOS大扇入时的设计技巧:

调整(加大)晶体管尺寸(减小电阻但增大了电容,还会给前级加大负载,只有当CL>>Cint 才能用)

逐级加大晶体管尺寸,使影响最大的晶体管电容最小(但可能会使版图设计复杂,晶体管间距不得不加大,导致内部电容增加)

重新安排输入(定义:外层输入:接近电源或地的输入,内层输入:接近输出端的输入,最迟到达的输入信号应当作为内层输入(放在接近输出端处)以避免多次延时)

重组逻辑结构:延时与扇入的平方关系使得输入太多时反转变得极慢,可以将多输入转化为多级

插入缓冲器隔离扇入与扇出(减小电容减小时间常数)

8、组合逻辑链的性能优化

首先我们明确一个概念:驱动能力(带负载能力)就是输出电阻,越小越强

反相器延时:

一般逻辑门的延时:

p-(归一化)本征延时:本征延时与门的类型有关,但它与门的尺寸(晶体管宽度的加倍)无关

g-逻辑努力(logical effort):对于给定的负载,一个门的输入电容和与它具有相同输出电

流的反相器的输入电容的比。逻辑努力与门的类型有关,但它与门的尺寸(晶体管宽度的加倍)无关

f-等效扇出(fanout):又称为“电气努力”,对于反相器,有

尺寸计算:并联不变,串联乘以串联的次数。

g=(P网输入管平均尺寸+N网输入管平均尺寸)/3 (输入电容之比)

努力与延时及尺寸关系的具体计算见书

对组合逻辑链性能优化的小结

①逻辑努力的概念可以用来快速比较各种电路结构的延时特性。例如:在互补CMOS结构中,NANF门比NOR门好。

②逻辑链中当各级的努力延时(h)相同并且接近等于4时,整个逻辑链路径的延时最快。采用“较少”级数(逻辑门的数目较少)时,逻辑链未必最快;采用“大尺寸”逻辑门时,逻辑链未必最快,却会增加面积和功耗。

③逻辑链的路径总延时对于级数偏高“最优级数”的敏感程度不大。使每级的努力延时稍大于4可减少面积与功耗,但速度减慢不多。但当每级的努力延时大于6~8时,速度会明显变慢。

④当单个逻辑门的输入数目增多时,它的逻辑努力也增大,一般限制单个逻辑门的输入数目为4个。当输入数超过4时,一般需要把这个复杂门分解成多级的简单门

9、互补CMOS的功耗优化

逻辑门的翻转受拓扑结构和信号时序的影响

翻转概率

毛刺引起虚假翻转

降低光开关活动性的方法

逻辑重组

输入排序(推迟具有较高翻转率的信号)

减少资源的分时复用

均衡信号路径减少毛刺

10、有比逻辑

目的:减少互补CMOS中的器件数

方法:不用PDN和PUN组合,而用NMOS的PDN实现逻辑功能,用简单负载器件实现上拉

缺点:降低了稳定性、增加功耗

11、有比逻辑(伪NMOS)特点:

晶体管数目N + 1个

输出高电平VOH = VDD

输出低电平VOL 不为0,降低了噪声容限,增加静态功耗

负载器件相对于下拉器件的尺寸比,会影响噪声容限、传播延时、功耗等,甚至是逻辑功能

设计伪NMOS,要折中考虑:

1)减少静态功耗,负载PMOS管要小

2)得到较大的NML,VOL要低=> (W/L)n / (W/L)p大,负载PMOS管要小

3)减小tpLH,负载PMOS管要大

4)1),2)和3)矛盾,速度快的门消耗更多的静态功耗,且会减小噪声容限。

用伪NMOS设计大扇入的复合门具有吸引力的原因:

N+1个晶体管,面积小,寄生电容小

对前级负载小,每个输入只接到一个晶体管

输出低电平时有静态功耗,适合大多数情况下输出为高电平的情况,如存储器的地址译码电路

14、有比逻辑(DCVSL——差分串联电压开关逻辑)

输入具有互补形式同时产生互补输出,消除了反相信号所需要额外反相器

输出节点电容小(和伪NMOS相同)

反馈机制保证了能够关断不需要的负载器件

消除静态功耗(增加了转换功耗)

下拉网络PDN1和PDN2互补,实现逻辑功能的互补

有比逻辑,全摆幅(GND和VDD)

额外面积开销(有两个下拉网络)

布线复杂,动态功耗高

15、传输管逻辑

需要的器件数少:N个晶体管

没有静态功耗,无比逻辑

互补的数据输入输出

属于静态逻辑

设计具有模块化的特点

NMOS传输高电平有阈值损失,导致驱动能力下降,且由于充电过程中栅源电压一直降低充电速度会比较慢。甚至会产生如下问题。

16、传输管逻辑驱动问题解决方案

解决方案1:电平恢复晶体管

1、完全无静态功耗,但考虑过渡情形时,需要仔细确定尺寸

2、增加了内部节点内容,关断时有信号竞争,降低了门的速度

3、PMOS的导通加速了上拉,因而减少了输出(反相器)的下降时间

解决方案2:传输管用低阈值晶体管(VT = 0)

优点:几乎没有阈值损失

(亚阈值)

解决方案3:采用传输门(Transmission Gate)逻辑

6个晶体管,比互补CMOS实现少一半

F总有一条路径到VDD 或GND,是低阻节点

传输们延时:

减少传输门链的延时:插入缓冲器切断长的传输门链

17、传输管传输门比较

(1)传输管的优点:寄生电容小,速度快,属无比逻辑缺点:阈值损失,噪声容限

差,会引起下一级静态功耗,MOS管的导通电阻随电压变化而变化

(2)全传输门优点:无阈值损失,MOS开关的导通电阻基本为常数缺点:必须提供

正反控制信号,版图设计效率低,电容大

18、静态CMOS分析方法

结构、逻辑类型、性能(延时、稳定性,输入输出电阻(给前级的负载和对后级的驱

动能力))、功耗

19、动态CMOS

在静态逻辑电路中,每一个时间点(开关瞬态除外)输出都通过一条低阻的路径连接到VDD 或GND

动态逻辑电路依赖于信号值在高阻节点(求值期间或求值后)的电容上暂时存储。

分两相工作:预充(Precharge)

求值(Evaluate)

面积小(N+2个管子)

速度快(管子少,C L小,拉高无延时,预充就已经拉高了,选择大PMOS可以加快预充,但是也会加大CL,使得拉低时间变长)

全摆幅

虽然没有了静态功耗,但是始终一直在翻转,开关活动性很高,导致总功耗很高优势:晶体管少,CL小,每个扇入对前级只表现为一个负载晶体管

每个周期最多只能翻转一次,没有毛刺和虚假翻转

不存在短路功耗

劣势:时钟功耗大,时钟节点每个时钟周期都要翻转

增加抗漏电器件时可能会有短路功耗

较高的开关活动性

噪声容限:输出高电平时,动态逻辑门的输出阻抗很大。因此,输出电平对噪声和干扰很敏感!其它信号的电容性耦合,可能造成节点电荷损失,而且不能恢复。

20、动态门的信号完整性问题

电荷泄露(翻篇儿机关和亚阈值漏电引起):为了防止泄露导致电平变化,要有较高的时钟频率,可以加电平恢复器,这里叫泄露晶体管,但是这样PDN导通时就会与泄露管产

生竞争,变成有比逻辑,产生短路功耗

电荷分享:求值时CL与PDN网络寄生电容分享电荷,可以给寄生电容节点预充电解决,

但是会增加面积和功耗。

电容耦合:

解决办法:预充期间置所有输入为0,求值期间输入只能进行单个的0→1翻转

时钟馈通:由于预充器件的栅漏电容引起的预充器件的时钟输入与动态输出节点间的耦

合效应。动态输出节点的电压可能上升到VDD以上

21、多米诺逻辑

扇出由一个低阻抗输出的静态反相器驱动,提高了抗噪声能力

缓冲器隔离了内部和外部电容,减少了动态输出节点的电容

可以利用反相器驱动一个泄漏器件抵抗漏电和电荷重新分布

预充期间置所有输入为0,求值期间输入只能进行单个的0→1翻转,这样的话就不会存在预充管和PDN同时导通的情况,也就可以取消求值管:减少了时钟负载,提高下拉驱动能

力,但会增加预充电周期

第七章时序逻辑电路设计的设计

1、动态存储器

要求定期刷新,要求从电容中读出信号时不会干扰所存储的电荷,因此要求通过具有高输入阻抗的器件来读取

速度快,面积小

2、静态存储器

对扰动不敏感

速度相对慢,面积相对大

信号可以无限保持

3、时序参数的一般定义

(1)建立(set-up)时间:t su

(2)维持(hold)时间:t hold

(3)时钟至输出(clk-q)时间(max):t clk-q

(4)时钟周期:T

(5)数据至输出(d-q)时间(max):t d-q

4、双稳电路

5、基于多路开关的锁存器

传输门实现

缺点:时钟信号的活性系数为1,有4个负载,功耗很大。

传输管实现

优点:时钟负载减小

缺点:第一个反相器的输入的高电平降低,从而影响噪声容限和开关性能,产生静态功耗

6、主从(边沿)寄存器(两个反相的基于多路开关的锁存器串联)

多路选择器实现:

强制写入实现:

优点:时钟晶体管的数目从8降到4

缺点:有比,强制写入。T1及其源驱动必须比I2 强。设计复杂。反向传导,T2 和I4 共同影响存储在I1—I2 中的数据

7、时钟交叠引起的问题

可以换用两相不交叠时钟

8、静态SR触发器

时钟控制的SR触发器(同步时序电路)9、简化的时钟同步SR触发器

10、动态存储器

比静态Latch和Register简单

基于在寄生电容上存储电荷,由于漏电需要周期刷新,适用于高频时序电路读操作不破坏信息:因此需要输入高阻抗的器件

解决漏电的办法:增加一个弱反馈反相器,构成伪静态

这会增加抗噪声能力,但会增加延时

除高性能数据通路外,一般均应使寄存器成为伪静态的或静态的11、C2MOS-Clocked CMOS

C2MOS与传输门相比较的优点:对时钟交叠不敏感

对传输门,不论其输出端是0还是1,输出端数据能被锁存住而不发生变化的条件是:P 管和N管必须同时关闭,只要有一个管子不关闭(例如由于Φ,Φ非造成P管和N管同时导通),则输出端就会受输入端的影响。

而对于C2MOS(例如当N管接Φ而P管接Φ非时)在输出为0时,只有当Φ非=0 时才有可能使输出从0变为1,而Φ=1时没有关系,即N管的导通不会影响输出端的0值。在输出为1时,只要当Φ=1时才有可能使输出从1变为0,而Φ非=0时没有关系,即P管的导通不会影响输出端的1值。

但是如果时钟的上升和下降时间太慢,那么存在一个时间间隔,NMOS 和PMOS都导通,于是就会在输入与输出间产生一条通路,从而破坏电路状态。因此一般使时钟的上升(下降)时间约小于C2MOS latch传播延时的5倍

真单相钟控寄存器(TSPCR)(我忍不了了!!!!!这一段都没见过啊啊啊啊啊绝望)

TIPS:

C2MOS Latch 与TSPC Latch 在时钟的上升下降沿不够陡的情况下会发生误操作。慢的时钟沿会引起NMOS和PMOS钟控管同时导通,引起不确定值和竞争状态。因此应当仔细控

制时钟的上升下降边沿。例如必要时应当引入局部缓冲器以保证时钟的质量。

TSPC寄存器是动态寄存器,存储节点的高阻抗使电路对于噪声和动态节点的漏电非常敏感,为此常常把一个反馈管加进到这个结构中,使成为伪静态的结构。

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字集成电路复习笔记

数集复习笔记 By 潇然名词解释专项 摩尔定律:一个芯片上的晶体管数目大约每十八个月增长一倍。 传播延时:一个门的传播延时t p定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 由于一个门对上升和下降输入波形的响应时间不同,所以需定义两个传播延时。 t pLH定义为这个门的输出由低至高翻转的响应时间,而t pHL则为输出由高至低翻转 的响应时间。传播延时t p定义为这两个时间的平均值:t p=(t pLH+t pHL)/2。 设计规则:设计规则是指导版图掩膜设计的对几何尺寸的一组规定。它们包括图形允许的最小宽度以及在同一层和不同层上图形之间最小间距的限制与要求。定义设计规则 的目的是为了能够很容易地把一个电路概念转换成硅上的几何图形。设计规则的 作用就是电路设计者和工艺工程师之间的接口,或者说是他们之间的协议。 速度饱和效应:对于长沟MOS管,载流子满足公式:υ= -μξ(x)。公式表明载流子的速度正比于电场,且这一关系与电场强度值的大小无关。换言之,载流子的迁移率 是一个常数。然而在(水平方向)电场强度很高的情况下,载流子不再符合 这一线性模型。当沿沟道的电场达到某一临界值ξc时,载流子的速度将由于 散射效应(即载流子间的碰撞)而趋于饱和。 时钟抖动:在芯片的某一个给定点上时钟周期发生暂时的变化,即时钟周期在每个不同的周期上可以缩短或加长。 逻辑综合:逻辑综合的任务是产生一个逻辑级模型的结构描述。这一模型可以用许多不同的方式来说明,如状态转移图、状态图、电路图、布尔表达式、真值表或HDL描 述。 噪声容限:为了使一个门的稳定性较好并且对噪声干扰不敏感,应当使“0”和“1”的区间越大越好。一个门对噪声的灵敏度是由低电平噪声容限NM L和高电平噪声容限 NM H来度量的,它们分别量化了合法的“0”和“1”的范围,并确定了噪声的 最大固定阈值: NM L =V IL - V OL NM H =V OH - V IH

数字集成电路--电路、系统与设计(第二版)复习资料

第一章 数字集成电路介绍 第一个晶体管,Bell 实验室,1947 第一个集成电路,Jack Kilby ,德州仪器,1958 摩尔定律:1965年,Gordon Moore 预言单个芯片上晶体管的数目每18到24个月翻一番。(随时间呈指数增长) 抽象层次:器件、电路、门、功能模块和系统 抽象即在每一个设计层次上,一个复杂模块的内部细节可以被抽象化并用一个黑匣子或模型来代替。这一模型含有用来在下一层次上处理这一模块所需要的所有信息。 固定成本(非重复性费用)与销售量无关;设计所花费的时间和人工;受设计复杂性、设计技术难度以及设计人员产出率的影响;对于小批量产品,起主导作用。 可变成本 (重复性费用)与产品的产量成正比;直接用于制造产品的费用;包括产品所用部件的成本、组装费用以及测试费用。每个集成电路的成本=每个集成电路的可变成本+固定成本/产量。可变成本=(芯片成本+芯片测试成本+封装成本)/最终测试的成品率。 一个门对噪声的灵敏度是由噪声容限NM L (低电平噪声容限)和NM H (高电平噪声容限)来度量的。为使一个数字电路能工作,噪声容限应当大于零,并且越大越好。NM H = V OH - V IH NM L = V IL - V OL 再生性保证一个受干扰的信号在通过若干逻辑级后逐渐收敛回到额定电平中的一个。 一个门的VTC 应当具有一个增益绝对值大于1的过渡区(即不确定区),该过渡区以两个有效的区域为界,合法区域的增益应当小于1。 理想数字门 特性:在过渡区有无限大的增益;门的阈值位于逻辑摆幅的中点;高电平和低电平噪声容限均等于这一摆幅的一半;输入和输出阻抗分别为无穷大和零。 传播延时、上升和下降时间的定义 传播延时tp 定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 上升和下降时间定义为在波形的10%和90%之间。 对于给定的工艺和门的拓扑结构,功耗和延时的乘积一般为一常数。功耗-延时积(PDP)----门的每次开关事件所消耗的能量。 一个理想的门应当快速且几乎不消耗能量,所以最后的质量评价为。能量-延时积(EDP) = 功耗-延时积2 。 第三章、第四章CMOS 器件 手工分析模型 ()0 12' 2 min min ≥???? ??=GT DS GT D V V V V V L W K I 若+-λ ()DSAT DS GT V V V V ,,m in min = 寄生简化:当导线很短,导线的截面很大时或当 所采用的互连材料电阻率很低时,电感的影响可 以忽略:如果导线的电阻很大(例如截面很小的长 铝导线的情形);外加信号的上升和下降时间很慢。 当导线很短,导线的截面很大时或当所采用的互 连材料电阻率很低时,采用只含电容的模型。 当相邻导线间的间距很大时或当导线只在一段很短的距离上靠近在一起时:导线相互间的电容可 以被忽略,并且所有的寄生电容都可以模拟成接 地电容。 平行板电容:导线的宽度明显大于绝缘材料的厚 度。 边缘场电容:这一模型把导线电容分成两部分: 一个平板电容以及一个边缘电容,后者模拟成一 条圆柱形导线,其直径等于该导线的厚度。 多层互连结构:每条导线并不只是与接地的衬底 耦合(接地电容),而且也与处在同一层及处在相邻层上的邻近导线耦合(连线间电容)。总之,再多层互连结构中导线间的电容已成为主要因素。这一效应对于在较高互连层中的导线尤为显著,因为这些导线离衬底更远。 例4.5与4.8表格 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 例4.1 金属导线电容 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线,计算总的电容值。 平面(平行板)电容: ( 0.1×106 μm2 )×30aF/μm2 = 3pF 边缘电容: 2×( 0.1×106 μm )×40aF/μm = 8pF 总电容: 11pF 现假设第二条导线布置在第一条旁边,它们之间只相隔最小允许的距离,计算其耦合电 容。 耦合电容: C inter = ( 0.1×106 μm )×95 aF/μm2 = 9.5pF 材料选择:对于长互连线,铝是优先考虑的材料;多晶应当只用于局部互连;避免采用扩散导线;先进的工艺也提供硅化的多晶和扩散层 接触电阻:布线层之间的转接将给导线带来额外的电阻。 布线策略:尽可能地使信号线保持在同一层上并避免过多的接触或通孔;使接触孔较大可以降低接触电阻(电流集聚在实际中将限制接触孔的最大尺寸)。 采电流集聚限制R C , (最小尺寸):金属或多晶至n+、p+以及金属至多晶为 5 ~ 20 Ω ;通孔(金属至金属接触)为1 ~ 5 Ω 。 例4.2 金属线的电阻 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线。假设铝层的薄层电阻为0.075Ω/□,计算导线的总电阻: R wire =0.075Ω/□?(0.1?106 μm)/(1μm)=7.5k Ω 例4.5 导线的集总电容模型 假设电源内阻为10k Ω的一个驱动器,用来驱动一条10cm 长,1μm 宽的Al1导线。 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 使用集总电容模型,源电阻R Driver =10 k Ω,总的集总电容C lumped =11 pF t 50% = 0.69 ? 10 k Ω ? 11pF = 76 ns t 90% = 2.2 ? 10 k Ω ? 11pF = 242 ns 例4.6 树结构网络的RC 延时 节点i 的Elmore 延时: τDi = R 1C 1 + R 1C 2 + (R 1+R 3) C 3 + (R 1+R 3) C 4 + (R 1+R 3+R i ) C i 例4.7 电阻-电容导线的时间常数 总长为L 的导线被分隔成完全相同的N 段,每段的长度为L/N 。因此每段的电阻和电容分别为rL/N 和cL/N R (= rL) 和C (= cL) 是这条导线总的集总电阻和电容()()()N N RC N N N rcL Nrc rc rc N L DN 2121 (22) 22 +=+=+++?? ? ??=τ 结论:当N 值很大时,该模型趋于分布式rc 线;一条导线的延时是它长度L 的二次函数;分布rc 线的延时是按集总RC 模型预测的延时的一半. 2 rcL 22=RC DN = τ 例4.8 铝线的RC 延时.考虑长10cm 宽、1μm 的Al1导线,使用分布RC 模型,c = 110 aF/μm 和r = 0.075 Ω/μm t p = 0.38?RC = 0.38 ? (0.075 Ω/μm) ? (110 aF/μm) ? (105 μm)2 = 31.4 ns Poly :t p = 0.38 ? (150 Ω/μm) ? (88+2?54 aF/μm) ? (105 μm)2 = 112 μs Al5: t p = 0.38 ? (0.0375 Ω/μm) ? (5.2+2?12 aF/μm) ? (105 μm)2 = 4.2 ns 例4.9 RC 与集总C 假设驱动门被模拟成一个电压源,它具有一定大小的电源内阻R s 。 应用Elmore 公式,总传播延时: τD = R s C w + (R w C w )/2 = R s C w + 0.5r w c w L 2 及 t p = 0.69 R s C w + 0.38 R w C w 其中,R w = r w L ,C w = c w L 假设一个电源内阻为1k Ω的驱动器驱动一条1μm 宽的Al1导线,此时L crit 为2.67cm 第五章CMOS 反相器 静态CMOS 的重要特性:电压摆幅等于电源电压 → 高噪声容限。逻辑电平与器件的相对尺寸无关 → 晶体管可以采用最小尺寸 → 无比逻辑。稳态时在输出和V dd 或GND 之间总存在一条具有有限电阻的通路 → 低输出阻抗 (k Ω) 。输入阻抗较高 (MOS 管的栅实际上是一个完全的绝缘体) → 稳态输入电流几乎为0。在稳态工作情况下电源线和地线之间没有直接的通路(即此时输入和输出保持不变) → 没有静态功率。传播延时是晶体管负载电容和电阻的函数。 门的响应时间是由通过电阻R p 充电电容C L (电阻R n 放电电容C L )所需要的时间决定的 。 开关阈值V M 定义为V in = V out 的点(在此区域由于V DS = V GS ,PMOS 和NMOS 总是饱和的) r 是什么:开关阈值取决于比值r ,它是PMOS 和NMOS 管相对驱动强度的比 DSATn n DSATp p DD M V k V k V V = ,r r 1r +≈ 一般希望V M = V DD /2 (可以使高低噪声容限具有相近的值),为此要求 r ≈ 1 例5.1 CMOS 反相器的开关阈值 通用0.25μm CMOS 工艺实现的一个CMOS 反相器的开关阈值处于电源电压的中点处。 所用工艺参数见表3.2。假设V DD = 2.5V ,最小尺寸器件的宽长比(W/L)n 为1.5 ()()()()()()()() V V L W V V V V k V V V V k L W L W M p DSATp Tp M DSATp p DSATn Tn M DSATn n n p 25.125.55.15.35.320.14.025.1263.043.025.10.163.01030101152266==?==----?-???----=---= 分析: V M 对于器件比值的变化相对来说是不敏感 的。将比值设为3、2.5和2,产生的V M 分别为 1.22V 、1.18V 和 1.13V ,因此使PMOS 管的宽度小于完全对称所要求的值是可以接受的。 增加PMOS 或NMOS 宽度使V M 移向V DD 或GND 。不对称的传输特性实际上在某些设计中是所希望的。 噪声容限:根据定义,V IH 和V IL 是dV out /dV in = -1(= 增益)时反相器的工作点 逐段线性近似V IH = V M - V M /g V IL = V M + (V DD - V M )/g 过渡区可以近似为一段直线,其增益等于 在开关阈值V M 处的增益g 。它与V OH 及V OL 线的交点 用来定义V IH 和V IL 。点。

常用数字芯片型号解读

常用数字芯片型号解读 逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。 图1-1:常用逻辑系列器件 TTL:Transistor-Transistor Logic CMOS:Complementary Metal Oxide Semicondutor LVTTL:Low Voltage TTL LVCMOS:Low Voltage CMOS ECL:Emitter Coupled Logic, PECL:Pseudo/Positive Emitter Coupled Logic LVDS:Low Voltage Differential Signaling GTL:Gunning Transceiver Logic BTL:Backplane Transceiver Logic ETL:enhanced transceiver logic GTLP:Gunning Transceiver Logic Plus TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等 S - Schottky Logic LS - Low-Power Schottky Logic CD4000 - CMOS Logic 4000 AS - Advanced Schottky Logic 74F - Fast Logic ALS - Advanced Low-Power Schottky Logic HC/HCT - High-Speed CMOS Logic BCT - BiCMOS Technology AC/ACT - Advanced CMOS Logic FCT - Fast CMOS Technology ABT - Advanced BiCMOS Technology LVT - Low-Voltage BiCMOS Technology LVC - Low Voltage CMOS Technology LV - Low-Voltage CBT - Crossbar Technology ALVC - Advanced Low-Voltage CMOS Technology AHC/AHCT - Advanced High-Speed CMOS CBTLV - Low-Voltage Crossbar Technology ALVT - Advanced Low-Voltage BiCMOS Technology AVC - Advanced Very-Low-Voltage CMOS Logic TTL器件和CMOS器件的逻辑电平 :逻辑电平的一些概念 要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。 3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的

《数字集成电路》期末试卷(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BC D D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

电子设计常用芯片

741 运算放大器 2063A JRC杜比降噪 20730 双功放 24C01AIPB21 存储器 27256 256K-EPROM 27512 512K-EPROM 2SK212 显示屏照明 3132V 32V三端稳压 3415D 双运放 3782M 音频功放 4013 双D触发器 4017 十进制计数器/脉冲分配器4021 游戏机手柄 4046 锁相环电路 4067 16通道模拟多路开关 4069 游戏机手柄 4093 四2输入施密特触发器 4098 41256 动态存储器 52432-01 可编程延时电路 56A245 开关电源 5G0401 声控IC 5G673 八位触摸互锁开关 5G673 触摸调光 5G673 电子开关 6116 静态RAM 6164 静态RAM 65840 单片数码卡拉OK变调处理器7107 数字万用表A/D转换器74123 单稳多谐振荡器 74164 移位寄存器 7474 双D触发器 7493 16分频计数器 74HC04 六反相器 74HC157 微机接口 74HC4053 74HCU04 六反相器 74LS00 与门 74LS00 4*2与非门 74LS00 四2与非门 74LS00 与门 74LS04 6*1非门 74LS08 4*2与门 74LS11 三与门 74LS123 双单稳多谐振荡器 74LS123 双单稳多谐振荡器 74LS138 三~八译码器 74LS142 十进制计数器/脉冲分配器74LS154 4-16线译码器 74LS157 四与或门74LS161 四2计数器 74LS161 十六进制同步计数器 74LS161 四~二计数器 74LS164 数码管驱动 74LS18 射频调制器 74LS193 加/减计数器 74LS193 四2进制计数器 74LS194 双向移位寄存器 74LS27 4*2或非门 74LS32 四或门 74LS32 4*2或门 74LS374 八位D触发器 74LS374 三态同相八D触发器 74LS377 74LS48 7位LED驱动 74LS73 双J-K触发器 74LS74 双D触发器 74LS85 四位比较器 74LS90 计数器 75140 线路接收器 75141 线路接收器 75142A 线路接收器 75143A 线路接收器 7555 时钟发生器 79MG 四端负稳压器 8051 空调单片机 8338 六反相器 A1011 降噪 ACVP2205-26 梳状滤波视频处理 AD536 专用运放 AD558 双极型8位D-A(含基准电压)变换器AD558 双极型8位D-A(含基准电压)变换器AD574A 12比特A/D变换器 AD650 AD670 8比特A/D变换器(单电源)1995s-2、15 AD7523 D-A变换器1994x-125 AD7524 D-A变换器1994x-126 AD7533 模数转换器1994x-141 AD7533 模数转换器1995s-184 ADC0804 8比特A/D变换器1995s-2、20 ADC0809 8CH8比特A/D 1995s-2、23 ADC0833 A/D变换4路转换器1995s-2 ADC80 12比特A/D变换器1995s-2、8 ADC84/85 高速12比特A/D变换器1995s-2 AG101 手掌游戏机1993x-155 AM6081 双极型8位D-A变换器1994x-127 AMP1200 音频功放皇后1993s-104 AN115 立体声解码1991-135 AN2510S 摄象机寻象器1994x-109 AN2661NK 影碟机视频1995s-45

#《数字集成电路设计》复习提纲

《数字集成电路设计》复习提纲(1-7章) 2011-12 1. 数字集成电路的成本包括哪几部分? ● NRE (non-recurrent engineering) costs 固定成本 ● design time and effort, mask generation ● one-time cost factor ● Recurrent costs 重复性费用或可变成本 ● silicon processing, packaging, test ● proportional to volume ● proportional to chip area 2. 数字门的传播延时是如何定义的? 一个门的传播延时tp 定义了它对输入端信号变化的响应有多快。 3. 集成电路的设计规则(design rule)有什么作用? ? Interface between designer and process engineer ? Guidelines for constructing process masks ? Unit dimension: Minimum line width ? scalable design rules: lambda parameter (可伸缩设计规则,其不足:只能在有限 的尺寸范围内进行。) ? absolute dimensions (micron rules,用绝对尺寸来表示。) 4. 什么是MOS 晶体管的体效应? 5. 写出一个NMOS 晶体管处于截止区、线性区、饱和区的判断条件,以及各工作区的源漏电流表达式(考虑短沟效应即沟道长度调制效应,不考虑速度饱和效应) 注:NMOS 晶体管的栅、源、漏、衬底分别用G 、S 、D 、B 表示。 6. MOS 晶体管的本征电容有哪些来源? 7. 对于一个CMOS 反相器的电压传输特性,请标出A 、B 、C 三点处NMOS 管和PMOS 管各自处于什么工作区? V DD 8. 在CMOS 反相器中,NMOS 管的平均导通电阻为R eqn ,PMOS 管的平均导通电阻为R eqp ,请写出该反相器的总传播延时定义。 9. 减小一个数字门的延迟的方法有哪些?列出三种,并解释可能存在的弊端。 ? Keep capacitances small (减小CL ) ? Increase transistor sizes(增加W/L) ? watch out for self-loading! (会增加CL ) ? Increase VDD (????) V out V in 0.5 11.522.5

2010年数字集成电路设计期中考试_中国科技大学

中国科学技术大学苏州研究院软件学院 数字集成电路设计 期中考试 (2010年10月11日2:00pm—3:30pm) 1.问答题 a)叙述摩尔定律(5分)。 b)叙述评价数字集成电路设计质量的四个基本特性(6分)。 c)叙述长沟MOS晶体管与短沟MOS晶体管的区别(6分)。 d)MOS管的电容由哪几部分构成?并说出在不同工作模式下的区别(8分)。 e)以反相器为例,说出静态CMOS电路的功耗包括哪几部分(6分)? f)数字集成电路按比例缩小有几种情形(6分)? g)下面的两种电路哪个性能(速度)更优越一些?并说出原因(5分)。 h)下面的电路哪个是无比逻辑,哪个是有比逻辑?并说出有比逻辑与无比 逻辑的区别(5分)。 2.下图为一RC网络。计算: a)从输入In到Out1的Elmore延时(5分);b)从输入In到Out2的Elmore延时(5分);c)确定哪条路径是关键路径(3分)?

3.假设下图中反相器由标准CMOS实现,并且具有对称的电压传输特性。假设 C intrinsic = C gate (γ=1),单位尺寸反相器的等效电阻与电容为R和C。单位尺 寸反相器的本征延时为t inv。反相器inv2, inv3和inv4的尺寸S1,S2和S3不小于1。 a)确定S1,S2和S3使时延最小(5分),并计算总的最小时延(以t inv为单位) (5分)。 b)确定反相器inv2, inv3和inv4的尺寸S1,S2和S3使功耗达到最小(4分)。4.如下图所示的逻辑网络,要求确定复合门电容y和z使A端到B端延时最小。 a)计算A端到B端总的逻辑努力LE(3分);b)计算A端到B端总的电气努力F (2分);c)计算A端到B端总的分支努力B (3分);d)计算A端到B端总的路径努力PE (2分);e)确定最佳级努力SE (3分)(近似为整数);f)确定A端到B端的最小时延(以t inv为单位)(3分);g)确定电容y (5分);h)确定电容z (5分)。

数字集成电路必备考前复习总结

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路 或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦 之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys版权): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

数字电路常用芯片应用设计

74ls138 摘要: 74LS138 为3 -8 线译码器,共有54/74S138和54/74LS138 两种线路结构型式,其中LS是指采用低功耗肖特基电路. 引脚图: 工作原理: 当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。 内部电路结构:

功能表真值表: 简单应用:

74ls139: 74LS139功能: 54/74LS139为2 线-4 线译码器,也可作数据分配器。其主要电特性的典型值如下:型号54LS139/74LS139 传递延迟时间22ns 功耗34mW 当选通端(G1)为高电平,可将地址端(A、B)的二进制编码在一个对应的输出端以低电平译出。若将选通端(G1)作为数据输入端时,139 还可作数据分配器。 74ls139引脚图:

引出端符号: A、B:译码地址输入端 G1、G2 :选通端(低电平有效) Y0~Y3:译码输出端(低电平有效74LS139内部逻辑图:

74LS139真值表: 74ls164: 164 为8 位移位寄存器,其主要电特性的典型值如下:54/74164 185mW 54/74LS164 80mW当清除端(CLEAR)为低电平时,输出端(QA -QH)均为低电平。串行数据输入端(A,B)可控制数据。当A、B任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0 为低电平。当A、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定Q0 的状态。 引脚功能: CLOCK :时钟输入端CLEAR:同步清除输入端(低电平有效)A,B :串行数据输入端QA-QH:输出端 (图1 74LS164封装图)

数字集成电路复习指南..

1. 集成电路是指通过一系列特定的加工工艺,将晶体管、二极管、MOS管等有源器件和阻、电容、电感等无源器件,按一定电路互连,“集成”在一块半导体晶片(硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的一种器件。 2.集成电路的规模大小是以它所包含的晶体管数目或等效的逻辑门数目来衡量。等效逻辑门通常是指两输入与非门,对于CMOS集成电路来说,一个两输入与非门由四个晶体管组成,因此一个CMOS电路的晶体管数除以四,就可以得到该电路的等效逻辑门的数目,以此确定一个集成电路的集成度。 3.摩尔定律”其主要内容如下: 集成电路的集成度每18个月翻一番/每三年翻两番。 摩尔分析了集成电路迅速发展的原因, 他指出集成度的提高主要是三方面的贡献: (1)特征尺寸不断缩小,大约每3年缩小1.41倍; (2)芯片面积不断增大,大约每3年增大1.5倍; (3)器件和电路结构的改进。 4.反标注是指将版图参数提取得到的分布电阻和分布电容迭加到相对应节点的参数上去,实际上是修改了对应节点的参数值。 5.CMOS反相器的直流噪声容限:为了反映逻辑电路的抗干扰能力,引入了直流噪声容限作为电路性能参数。直流噪声容限反映了电流能承受的实际输入电平与理想逻辑电平的偏离范围。 6. 根据实际工作确定所允许的最低输出高电平,它所对应的输入电平定义为关门电平;给定允许的最高输出低电平,它所对应的输入电平为开门电平 7. 单位增益点. 在增益为0和增益很大的输入电平的区域之间必然存在单位增益点,即dV out/dVin=1的点 8. “闩锁”现象 在正常工作状态下,PNPN四层结构之间的电压不会超过Vtg,因 此它处于截止状态。但在一定的外界因素触发下,例如由电源或 输出端引入一个大的脉冲干扰,或受r射线的瞬态辐照,使 PNPN四层结构之间的电压瞬间超过Vtg,这时,该寄生结构中就 会出现很大的导通电流。只要外部信号源或者Vdd和Vss能够提供 大于维持电流Ih的输出,即使外界干扰信号已经消失,在PNPN四 层结构之间的导通电流仍然会维持,这就是所谓的“闩锁”现象 9. 延迟时间: T pdo ——晶体管本征延迟时间; UL ——最大逻辑摆幅,即最大电源电压; Cg ——扇出栅电容(负载电容); Cw ——内连线电容; Ip ——晶体管峰值电流。

2011年数字集成电路设计期末考试试卷_中国科技大学

Digital Integrated Circuits Final Exam, Fall 2011 School of Software Engineering University of Science and Technology of China (19:00pm–21:00 pm November24th, 2011) Name:Student ID:Score: 1. Which of the following two circuits is better in terms of speed? Why?(5 points) 2. Describe at least two methods to reduce power dissipation of digital integrated circuits. (5 points) 3. What are the advantage and disadvantage of using the transistor M r in the figure below? (4 points) 4.Reconstruct the following circuit logically to avoid glitches.Describe at least one other method to avoid glitches. (5 points) 5.Sketch a transistor-level circuit for a 6-Transistor SRAM. Describe how to size transistors to ensure writing reliability and reading stability.What is the purpose of having PMOS transistors? (10 points)

《数字集成电路》期末考试卷A(含问题详解)

工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(0)8421BCD D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

数字集成电路复习笔记

数集复习笔记 By 潇然 2018.6.29 名词解释专项 摩尔定律:一个芯片上的晶体管数目大约每十八个月增长一倍。 传播延时:一个门的传播延时t p定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 由于一个门对上升和下降输入波形的响应时间不同,所以需定义两个传播延时。 t pLH定义为这个门的输出由低至高翻转的响应时间,而t pHL则为输出由高至低翻转 的响应时间。传播延时t p定义为这两个时间的平均值:t p=(t pLH+t pHL)/2。 设计规则:设计规则是指导版图掩膜设计的对几何尺寸的一组规定。它们包括图形允许的最小宽度以及在同一层和不同层上图形之间最小间距的限制与要求。定义设计规则 的目的是为了能够很容易地把一个电路概念转换成硅上的几何图形。设计规则的 作用就是电路设计者和工艺工程师之间的接口,或者说是他们之间的协议。 速度饱和效应:对于长沟MOS管,载流子满足公式:υ = -μξ(x)。公式表明载流子的速度正比于电场,且这一关系与电场强度值的大小无关。换言之,载流子的迁 移率是一个常数。然而在(水平方向)电场强度很高的情况下,载流子不再 符合这一线性模型。当沿沟道的电场达到某一临界值ξc时,载流子的速度 将由于散射效应(即载流子间的碰撞)而趋于饱和。 时钟抖动:在芯片的某一个给定点上时钟周期发生暂时的变化,即时钟周期在每个不同的周期上可以缩短或加长。 逻辑综合:逻辑综合的任务是产生一个逻辑级模型的结构描述。这一模型可以用许多不同的方式来说明,如状态转移图、状态图、电路图、布尔表达式、真值表或HDL描述。噪声容限:为了使一个门的稳定性较好并且对噪声干扰不敏感,应当使“0”和“1”的区间越大越好。一个门对噪声的灵敏度是由低电平噪声容限NM L和高电平噪声容限NM H 来度量的,它们分别量化了合法的“0”和“1”的范围,并确定了噪声的最大固 定阈值: NM L =V IL - V OL NM H =V OH - V IH

相关主题
文本预览
相关文档 最新文档