当前位置:文档之家› DAC0832接口电路及程序设计

DAC0832接口电路及程序设计

DAC0832接口电路及程序设计
DAC0832接口电路及程序设计

附件1:

学号:0121109320507

课程设计

DAC0832接口电路

题目

及程序设计

学院信息工程学院

专业通信工程

班级信息sy1101

姓名芦启超

指导教师陈适

2014年 6月18日

课程设计任务书

学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院

题目: DAC0832接口电路及程序设计

初始条件:

(1) Quartus II、ISE 等软件;

(2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》

(3)先修课程:数字电子技术、模拟电子技术、通信原理

主要任务:

(1)掌握DAC0832接口电路及程序设计的基本原理;

(2)掌握仿真软件Quartus II的使用方法;

(3)完成对DAC0832接口电路设计,并对仿真结果进行分析。

时间安排:

(1)2014 年6月11日--2014 年6月18日理论设计、仿真设计

地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。

(2)2014 年6 月18 日进行理论答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要............................................................................................................................................. I Abstract ........................................................................................................................................... II 1 基本原理 (1)

1.1 系统背景 (1)

1.2 FPGA最小系统简介 (1)

1.3 DAC0832简介 (2)

1.4 DAC0832 接口电路设计 (2)

1.5 DAC0832 接口电路程序设计 (3)

1.5.1 DAC0832 时序 (3)

1.5.2 DAC0832接口电路输出控制程序 (4)

2 仿真结果与分析 (7)

2.1 关于Quartus II 软件 (7)

2.1.1 Quartus II 的优点 (7)

2.1.2 Quartus II 对器件的支持 (7)

2.1.3 Quartus II 对第三方EDA 工具的支持 (8)

2.2 输出仿真结果 (8)

3 结论 (10)

总结与体会 (11)

参考文献 (12)

摘要

本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。

本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。

关键词:DAC0832;VHDL;Quartus ii

Abstract

The curriculum design use Quartus ii to simulate, which is based on the VHDL hardware description language and complete the design of DAC0832 interface circuit and program. DAC0832 is a 8 bit D/A converter with CMOS/Si-Cr technology. The chip contains 8 bit input register, a 8 bit DAC register, a 8 bit D/A converter, can realize D/A conversion.

Study design this course is the VHDL language, try to design and Simulation of the DAC0832 interface circuit, learn how to use Quartus ii basicly, strengthen the understanding of FPGA.

Key Words:DAC0832;VHDL;Quartus ii

1 基本原理

1.1 系统背景

现场可编程逻辑门阵列FPGA ,与PAL 、GAL 器件相比,他的优点是可以实时地对外加或内置得RAM 或PROM 编程,实施地改变迄今功能,实现现场可编程(基于EPROM 型)或在线重配置(基于RAM 型)。是科学试验、演技研制、小批量产品生产的最佳选择其间。自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。因而许多现代仪器仪表都用到了FPGA

DAC0832是8分辨率的D/A 转换集成芯片。与微处理器完全兼容。这个D/A 芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。

1.2 FPGA 最小系统简介

图1.1 FPGA 最小系统

计算机接口

JTAG 接口

FPGA / CPLD 芯片

复位电源

输入接口

输出接口

下载电缆

AS 接口

FPGA 最小系统是可以使FPGA 正常工作的最简单的系统。它的外 围电路尽量最少,只包括FPGA 必要的控制电路。

一般所说的FPGA 的最小系统主要包括:FPGA 芯片、下载电路、外部时钟、复位电路和电源。如果需要使用NIOS II 软嵌入式处理器还要包括:SDRAM 和Flash 。一般以上这些组件是FPGA 最小系统的组成部分 。如图1.1所示。

1.3 DAC0832简介

图1.2 DAC0832内部结构图

DAC0832是采样频率为八位的D/A 转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A 异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A 转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB 端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL 电平,可直接与TTL 电路或微机电路连接。其内部结构如图1.2所示。

1.4 DAC0832 接口电路设计

DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC 寄存器,可以工作在双缓冲方式下。 其接口电路如图1.3所示:

8 位 输 入 寄 存 器 8 位 D/A 寄 存 器

8 位 D/A 转 换 器

& &

&

D7~ D0 ILE CS WR1 WR2 XFER VREF IOUT2 IOUT1

Rfb AGND

VCC DGND

LE1

LE1

图1.3 FPGA与DAC0832接口电路原理图

FPGA_IO1~8向DAC0832的数据输入口(DI0~DI7)输送数据。

FPGA_IO9提供DAC0832数据锁存允许控制信号ILE,高电平有效。

FPGA_IO10提供DAC0832控制信号(CS:片选信号;Xfer:数据传输控制信号;WR1、WR2:DAC寄存器写选通信号),低电平有效。

Iout1、Iout2、Rfb与运算放大器LM324完成电流/电压的转换(DAC0832属电流输出型)。

1.5 DAC0832 接口电路程序设计

1.5.1 DAC0832 时序

根据DAC0832 输出控制时序,利用接口电路图,通过改变输出数据设计一

个锯齿波发生器。DAC0832是8位的D/A转换器,转换周期为1μs。锯齿波形数据可以由256个点构成,每个点的数据长度为8位。又因为FPGA的系统时钟为50MHz,必须对其进行分频处理,这里进行64分频,得到的锯齿波的频率为762.9Hz。图1.4为DAC0832 输出控制时序图。

图1.4 DAC0832 输出控制时序图

1.5.2 DAC0832接口电路输出控制程序

DAC0832 接口电路程序如下:

--文件名:DAC0832.VHD

--功能:产生频率为762.9Hz的锯齿波。

--最后修改日期:2014.6.15

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity DAC0832 is

port(clk:in std_logic; --系统时钟

rst:in std_logic; --复位信号 ile:out std_logic; --数据锁存允许信号 cont:out std_logic; --控制信号(WR1、WR2、CS、Xfer) data_out:out std_logic_vector(7 downto 0)); --波形数据输出

end DAC0832;

architecture behav of DAC0832 is

signal q:integer range 0 to 63; --计数器signal data:std_logic_vector(7 downto 0); --波形数据Begin

process(clk)

begin

if rst='1' then q<=0; --复位,对计数器q清零elsif clk'event and clk='1' then

if q=63 then q<=0; --此IF语句对系统时钟进行64分频 if data="11111111" then data<="00000000";

--此IF语句产生锯齿波波形数据

else data<=data+1;

end if;

else q<=q+1;

end if;

end if;

end process;

ile<='1';cont<='0';data_out<=data; --ile、cont赋值;波形数据输出;end behav;

2 仿真结果与分析

2.1 关于Quartus II 软件

Max+plus II 作为 Altera 的上一代 PLD 设计软件,由于其出色的易用性而得到了广泛的应用。目前 Altera 已经停止了对 Max+plus II 的更新支持。Quartus II 是 Altera公司继 Max+plus II 之后开发的一种针对其公司生产的系列 CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从 4.0 版到 10.0 版,这里介绍的是 Quartus II 8.0 版,该软件有如下几个显著的特点:

2.1.1 Quartus II 的优点

该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。 Quartus II 可以在 XP、Linux 以及 Unix 上使用,除了可以使用 Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

2.1.2 Quartus II 对器件的支持

Quartus II 支持 Altera 公司的 MAX 3000A 系列、MAX 7000 系列、MAX 9000 系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K 系列,支持MAX7000/MAX3000等乘积项器件。支持 MAX II CPLD 系列、Cyclone 系列、Cyclone II、Stratix II 系列、Stratix GX 系列等。支持 IP 核,包含了 LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和 DSP Builder 工具与Matlab/Simulink 相结合,可以方便地实现各种 DSP 应用系统;支持 Altera

的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

2.1.3 Quartus II 对第三方EDA 工具的支持

对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA 工具。 Altera 的 Quartus II 可编程逻辑软件属于第四代 PLD 开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet 的协作设计。Quartus 平台与 Cadence、ExemplarLogic、MentorGraphics、Synopsys 和 Synplicity 等 EDA 供应商的开发工具相兼容。改进了软件的 LogicLock 模块设计功能,增添了 FastFit 编译选项,推进了网络编辑性能,而且提升了调试能力。

用户首先对所做项目进行设计,明确设计目的,设计要求。然后利用原理图输入方式或程序输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到设计要求,若未到达要求,需重新检查设计输入及编译过程,不断迭代,收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。为设计者提供了完善的设计环境,适于模块的层次化设计方法。

2.2 输出仿真结果

输出波形如图2.1所示:

图2.1 输出波形图

数据流分析结果如图2.2所示:

由仿真结果我们可以看出,,复位后计数器q在每个时钟周期计数一次,基本基本达到设计要求。由此可见,我们的仿真是正确的。

3 结论

FPGA与DAC的结合使得D/A转换的效率大大提高,还可以运用到多个方面。本文基于FPGA实现DAC0832的接口电路,更加深入的了解了两种芯片的功能。在实际运用中,可以将DAC部分集成到一块芯片上,这样即提高了 FPGA 内部结构的利用率,又可以降低系统的成本。

而且,通过本次课程设计,我了解的FPGA与DAC0832接口电路的功能,认识到可以将其推广到更多的地方,比如基于FPGA和DAC0832的数字滤波器和程控放大器等等。这说明了本电路的基础性和广泛的运用性。通过这次设计让我受益匪浅。

总结与体会

这次的课程设计主要是让我们学习使用 Quartus ii ,利用 VHDL 语言来实现 MFSK的设计和仿真。经过这次课程设计,我更进一步的加强了对 FPGA 的认识,学会了对 VHDL 语言的基本运用,加深了对 MFSK 的理解,发现了他的神奇。一开始,我从最基本的 VHDL 语言学起,一步一步逐渐学会 Quartus ii的应用。经过查阅了相关资料,慢慢的学会了 DAC0832电路的设计,大大提升了我们对FPGA 的兴趣。我觉得通过这次课设之后,我发现 FPGA 强大的功能,决定继续深入的学习这个软件。

参考文献

[1]VHDL数字电路设计教程/(巴西)佩德罗尼著;乔卢峰译./北京:电子工业出版社,2013.1

[2]电子设计自动化应用技术—FPGA应用篇/路而红主编./北京:高等教育出版社,2009.11

[3]张学平,王应生等.基于 FPGA 的 OQPSK 解调器的设计与实现[J].微计算机信息,2006,4-2:155-157

[4]黄智伟, FPGA 系统设计与实践[M].北京:电子工业出版社,2005

[5]董在望,通信电路原理[M].北京:高等教育出版社,2002 [4]侯伯亨顾新. VHDL 硬件描述语言与数字逻辑电路设计. [M].西安. 西安电子科技大学出版社,1999

本科生课程设计成绩评定表

姓名芦启超性别男

专业、班级信息sy1101班

课程设计题目:DAC0832接口电路及程序设计

课程设计答辩或质疑记录:

成绩评定依据:

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年月日

单片机试题8(带答案)

单片机试题8(带答案)

试题8 一、填空题(35分,每空1分) 1、单片机也可称为微控制器或嵌入式控制器。 2、串行口方式2接收到的第9位数据送SCON 寄存器的RB8 位中保存。 3.串行口方式3发送的第9位数据要事先写入(SCON )寄存器的(TB8)位。 4、内部RAM中,位地址为50H的位,该位所在字节的字节地址为2AH 。 5、如果(A)=65H,(50H)= 50H,(R1)= 50H,执行指令XCHD A, @R1;结果 为: (A) = 50 H ,(50H) = 65 H。 6、当AT89S51执行MOVC A,@A+PC指令时,伴随着PSEN控制信号有效。 7、AT89S51访问片外存储器时,利用LEA 信号锁存来自P0口发出的低8 位地址信号。 8、定时器/计数器T0作为计数器使用时,其计数频率不能超过晶振频率fosc的1/24 。 9、AT89S51单片机为8 位单片机。 8.利用81C55可以扩展(3)个并行口,(256)个RAM单元。 9.当单片机复位时PSW=(00 )H,SP=(07H),P0~P3口均为(高)电平。 10、AT89S51单片机有 2 级优先级中断。 11、MCS-51系列单片机的典型芯片分别为8031 、8051 、8751 。 12、当单片机复位时PSW=00 H,这时当前的工作寄存器区是0 区,R6所对应的存储单元地址为06 H。 13、AT89S51单片机指令系统的寻址方式有寄存器寻址、立即寻址、 寄存器间接寻址、直接寻找、位寻址、基址寄存器加变址寄存器寻址。 14、74LS138是具有3个输入的译码器芯片,其输出作为片选信号时,最多可以

微机原理及其应用报告数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O 端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T 形网络,电流型 输出模式,电流输出稳定时间为1us ,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC 寄存器和一个8位D/A 转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式 : 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A 转换数据的输入锁存和D/A 转换输出分两步完成。首先,CPU 分时向各路D/A 转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU 同时对所有D/A 转换器发出输入所存数据打入DAC 寄存器的控制信号,即可实现 VREF IOUT2 IOUT1 DGND VCC AGND RFB

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

《单片机原理及应用》试卷及答案6

《单片机原理及应用》试卷6及参考答案 (本试题分笔试题和操作题两部分。共100分,考试时间120分钟。) 第一部分笔试题 (本部分共有4大题,总分60分,考试时间60分钟,闭卷) 一、填空题(每空1分,共20分) 1、8031单片机一般使用的时钟晶振频是()、()。 2、假定(SP)=40H,(39H)=30H,(40H)=60H。执行下列指令: POP DPH ,POP DPL后,DPTR的内容为(),SP的内容是()。 3、单片机的堆栈栈顶由()来指示,复位时起位置在()单元。 4、当P1口做输入口输入数据时,必须先向该端口的锁存器写入(),否则输入数据可能出错。 5、单片机内部数据传送指令()用于单片机内部RAM单元及寄存器之间,单片机与外部数据传送指令()用于单片机内部与外部RAM或I/O接口之间, ()指令用于单片机内部与外部ROM之间的查表 6、单片机内外中断源按优先级别分为高级中断和低级中断,级别的高低是由()寄存器的置位状态决定的。同一级别中断源的优先顺序是由()决定的。 7、LED数码显示按显示过程分为()显示和()显示2种。前者,对每位显示来说是连续显示的,可通过单片机串行口实现;当多位显示时需较多外部驱动芯片,功耗较大。后者,对每位显示来说是断续显示需占用单片机的()接口资源。 8、在调用子程序时,为保证程序调用和返回不致混乱,常采用保护现场的措施。通常在进入子程序后要用()指令保护现场DPH、DPL、ACC等。在退出子程序之前要用POP指令依次恢复现场,用()指令返回。 9、用仿真开发系统调试程序时,汇编成功只说明源程序的()没有问题,而程序()还要靠运行调试纠错才能成功。

DAC0832接口电路及程序设计

附件1: 学号:0121109320507 课程设计 DAC0832接口电路 题目 及程序设计 学院信息工程学院 专业通信工程 班级信息sy1101 姓名芦启超 指导教师陈适 2014年 6月18日

课程设计任务书 学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院 题目: DAC0832接口电路及程序设计 初始条件: (1) Quartus II、ISE 等软件; (2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》 (3)先修课程:数字电子技术、模拟电子技术、通信原理 主要任务: (1)掌握DAC0832接口电路及程序设计的基本原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成对DAC0832接口电路设计,并对仿真结果进行分析。 时间安排: (1)2014 年6月11日--2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。 (2)2014 年6 月18 日进行理论答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ........................................................................................................................................... II 1 基本原理 (1) 1.1 系统背景 (1) 1.2 FPGA最小系统简介 (1) 1.3 DAC0832简介 (2) 1.4 DAC0832 接口电路设计 (2) 1.5 DAC0832 接口电路程序设计 (3) 1.5.1 DAC0832 时序 (3) 1.5.2 DAC0832接口电路输出控制程序 (4) 2 仿真结果与分析 (7) 2.1 关于Quartus II 软件 (7) 2.1.1 Quartus II 的优点 (7) 2.1.2 Quartus II 对器件的支持 (7) 2.1.3 Quartus II 对第三方EDA 工具的支持 (8) 2.2 输出仿真结果 (8) 3 结论 (10) 总结与体会 (11) 参考文献 (12)

单片机期末考试试题6(带答案)

试题6 一、选择题(每题1分,共10分) 1、所谓CPU是指( A ) A、运算器和控制器 B、运算器和存储器 C、输入输出设备 D、控制器和存储器 2、访问片外数据存储器的寻址方式是(C) A、立即寻址 B、寄存器寻址 C、寄存器间接寻址 D、直接寻址 3、堆栈数据的进出原则是(A) A、先进先出 B、先进后出 C、后进后出 D、进入不出 4、开机复位后,CPU使用的是寄存器第一组,地址范围是( D ) A、00H-10H B、00H-07H C、10H-1FH D、08H-0FH 5、定时器/计数器工作于方式1时,其计数器为几位?(B) A、8位 B、16位 C、14位 D、13位 6、若某存储器芯片地址线为12根,那么它的存储容量为(C) A、1KB B、2KB C、4KB D、8KB 7、控制串行口工作方式的寄存器是( C ) A、TCON B、PCON C、SCON D、TMOD 8、当AT89S51外扩程序存储器32KB时,需使用EPROM2764( C ) A、2片 B、3片 C、4片 D、5片 9、已知:R0=28H (28H)=46H MOV A,#32H MOV A,45H MOV A,@R0 执行结果A的内容为(A) A、46H B、28H C、45H D、32H 10、下面程序运行后结果为(B ) MOV 2FH,#30H MOV 30H,#40H MOV R0,#30H MOV A,#20H

SETB C ADDC A,@R0 DEC R0 MOV @R0,A A、(2FH)=30H (30H)=40H B、(2FH)=61H (30H)=40H C、(2FH)=60H (30H)=60H D、(2FH)=30H (30H)=60H 二、填空题(每空1分,共20分) 1、AT89S51有(111 )条指令。 2、晶振的频率为6MHz时,一个机器周期为( 2 )μS。 3、51单片机是把中央处理器,(存储器)、(并行I/O口)、(串行I/O口)、(定 时器、计数器)(中断系统)以及I/O接口电路等主要计算机部件集成在一块集成电路芯片上的微型计算机。 4、单片机复位时P0的值为( FFH )。 5、当PSW.4=0,PSW.3=1时,当前工作寄存器Rn,工作在第( 1 )区。 6、在R7初值为00H的情况下,DJNZ R7,rel指令将循环执行( 256 )次。 7、欲使P1口的低4位输出0,高4位不变,应执行一条(ANL P1,#0F0H)指令。 8、AT89S51系列单片机有(5)个中断源,可分为( 2 )个优先级。上电复 位时(外部中断0 )中断源的优先级别最高。 9、计算机三大总线分别为(地址总线)、(数据总线)和控制总线。 10、74LS138是具有3个输入的译码器芯片,用其输出作片选信号,最多可在( 8 )块 芯片中选中其中任一块。 11、MCS-51指令系统中,ADD与ADDC指令的区别是(ADDC是带进位C的加法)。 12、AT89S51单片机有( 2 )个16位定时/计数器。 13、特殊功能寄存器中,单元地址(以0和8结尾)的特殊功能寄存器,可以位寻址。 三、判断题(每题1分,共15分) 1、AT89S51单片机必须使用内部ROM。(╳) 2、当EA脚接高电平时,对ROM的读操作只访问片外程序存储器。(╳) 3、AT89S51单片机的串行通信方式为半双工方式。(╳) 4、AT89S51的中断系统最短响应时间为4个机器周期。(╳) 5、E2PROM不需紫外线能擦除,如2816在写入时就能自动完成擦除。(﹀) 6、8155是一种8位单片机。(﹀)

DAC0832中文资料

DAC0832引脚功能电路应用原理图 DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。

dac0832应用电路图 dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一)D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为: 图4-82

单片机试卷6-7-8答案

试题8 一、填空题(35分,每空1分) 1、单片机也可称为微控制器或嵌入式控制器。 2、串行口方式2接收到的第9位数据送SCON 寄存器的RB8 位中保存。3.串行口方式3发送的第9位数据要事先写入(SCON )寄存器的(TB8)位。 4、内部RAM中,位地址为50H的位,该位所在字节的字节地址为2AH 。 5、如果(A)=65H,(50H)= 50H,(R1)= 50H,执行指令XCHD A, @R1;结果为: (A) = 50 H ,(50H) = 65 H。 6、当AT89S51执行MOVC A,@A+PC指令时,伴随着PSEN控制信号有效。 7、AT89S51访问片外存储器时,利用LEA 信号锁存来自P0口发出的低8位地址信号。 8、定时器/计数器T0作为计数器使用时,其计数频率不能超过晶振频率fosc的1/24 。 9、AT89S51单片机为8 位单片机。 8.利用81C55可以扩展(3)个并行口,(256)个RAM单元。 9.当单片机复位时PSW=(00 )H,SP=(07H),P0~P3口均为(高)电平。 10、AT89S51单片机有 2 级优先级中断。 11、MCS-51系列单片机的典型芯片分别为8031 、8051 、8751 。 12、当单片机复位时PSW=00 H,这时当前的工作寄存器区是0 区,R6所对应的存储单元地址为06 H。 13、AT89S51单片机指令系统的寻址方式有寄存器寻址、立即寻址、 寄存器间接寻址、直接寻找、位寻址、基址寄存器加变址寄存器寻址。14、74LS138是具有3个输入的译码器芯片,其输出作为片选信号时,最多可以选中 8 片芯片。32=25 1000/0000/0000/0000 15、利用82C55可以扩展 3 个并行口,其中8 条口线具有位操作功能。 16、若AT89S51外扩32KB 数据存储器的首地址为0000H,则末地址为7FFF H。 17、由8031组成的单片机系统在工作时,EA引脚应该接0 。 18、AT89S51唯一的一条16位数据传送指令为MOV DPTR data16 。 二、判断对错,如对则在()中写“√”,如错则在()中写“×”。(10分) 1、AT89S51单片机可执行指令:MOV 28H,@R2。( ×) 2、判断指令的正误:MOV T0,#3CF0H;(×)

单片机练习试题答案解析

单片机练习题答案 、填空题 1、若单片机振荡脉冲频率为6MHz 时,一个机器周期为_ 2μs _______ 。 2、AT89C51 单片机的XTAL1 和XTAL2 引脚是___外接晶振或时钟__引脚。 3、定时器/计数器的工作方式3是指的将定时器/计数器T0 拆成两个独立的8 位计数器。 4、若由程序设定RS1、RS0 =01 ,则工作寄存器R0~R7 的直接地址为__08~0FH ___________________________________________________________________________ 。 5、写出完成相应功能的汇编指令:将立即数____________ 11H 送到R2 MOV R2,#11H _ ___、 使ACC.2 置位__ SETB ACC.2 __ 、使累加器的最低 2 位清零___ ANL A,#0FCH ___ 。 6、A/D 转换的作用是将__模拟量转为数字量。ADC0809 是__8___位的A/D 转换芯片。 7、8051 的堆栈区一般开辟在用户RAM 区的地址为30H ~7FH。 8 、所谓寻址,其实质就是__如何确定操作数的单元地址问题_。 9、若单片机振荡脉冲频率为12MHz 时,一个机器周期为1μs ___ 。 10、定时器中断请求发生在__计数溢出的时候。 11、中断响应时间是指__从中断请求发生直到被响应去执行中断服务程序所需的时间 12、通过CPU 对I/O 状态的测试,只有I/O 已准备好时才能进行I/O 传送,这 种传送方式称为程序查询方式___。 13、在中断服务程序中现场保护和现场恢复期间,中断系统应处在__关中断__状态。 14、8051 单片机的部硬件结构包括了:中央处理器(CPU) 、数据存储器(RAM) 、 程序存储器、和 2 个16 位定时/ 计数器以及并行I/O 口、串行口、中断控制 系统、时钟电路、位处理器等部件,这些部件通过部总线相连接。 15、MCS-51 的堆栈只可设置在RAM 的地址为30H ~7FH,堆栈寄存器sp 是8 位 寄存器。 16、MCS-51 单片机的P0~P4 口均是并行I/O 口,其中的P0 口和P2口除了可以进行数据的输入、输出外,通常还用来构建系统的数据总线和地址总线,在 P0~P4 口中,P0 为真正的双相口,P1—P3 为准双向口;P3 口具有第二引脚功能。

DAC0832电路与程序(正弦波)

DAC0832电路与程序设计(正弦波) 1.函数法正弦波 #include #include sbit cs1=P2^3; sbit rd=P2^4; unsigned char p,q; float f; int a; #define pi 3.1415926

void delay(unsigned char i) { unsigned char j; for(;i>0;i--) for(j=0;j<110;j++); } void main() { cs1=0; rd=0; while(1) { q=0; for(q=0;q<225;q++) { p=5+q; if(p>255) p=0; f=(sin(2*pi/225*q)+1)*128; a=f;

P1=a; //delay(10); } } } 2.查表法正弦波高低频叠加 #include #include sbit cs1=P2^3; sbit rd=P2^4; unsigned char p,m; unsigned char code sin[]={ 0x80,0x83,0x86,0x89,0x8D,0x90,0x93,0x96,0x99,0x9C,0x9F,0xA2,0xA5,0xA8,0x AB,0xAE, 0xB1,0xB4,0xB7,0xBA,0xBC,0xBF,0xC2,0xC5,0xC7,0xCA,0xCC,0xCF,0xD1,0x D4,0xD6,0xD8, 0xDA,0xDD,0xDF,0xE1,0xE3,0xE5,0xE7,0xE9,0xEA,0xEC,0xEE,0xEF,0xF1,0x F2,0xF4,0xF5,

单片机应用技术考试试题(六)及答案

单片机应用技术考试试题(六) (本试题分笔试题和操作题两部分。共100分,考试时间120分钟。) 第一部分笔试题 (本部分共有4大题,总分60分,考试时间60分钟,闭卷) 一、填空题(每空1分,共20分) 1、半导体存储器的最重要的两个指标是()和()。 2、汇编语言中可以使用伪指令,它们不是真正的指令,只是用来对()。 3、指令ALMP的跳转范围是()。 4、通常、单片机上电复位时PC=(),SP=();而工作寄存器缺省则采用第()组,这组寄存器的地址范围是从()。 5、假定累加器A的内容30H,执行指令: 1000H:MOVC A,@A+PC 后,把程序存储器()单元的内容送累加器A中。 6、MCS-51单片机访问外部存储器时,利用()信号锁存来自()口的低8位地址信号。 7、MCS-51单片机8031中有( )个( )位的定时/计数器,可以被设定的工作方式有四种。 8、用MCS-51串行口扩展并行I/O口时,串行口工作方式应选择()。 9、在CPU内部,反映程序运行状态或反映运算结果的一些特征寄存器是()。 10、计算机的系统总线有地址总线、控制总线和()。 11、若某8位D/A转换器的输出满刻度电压为+5V,则D/A转换器的分辨率为()。 12、JZ e 的操作码地址为1000H,e=20H,它转移的目标地址为()。 13、单片机串行通信时,若要发送数据,就必须将要发送的数据送至()单元,若要接收数据也要到该单元取数。 11、单片机串行通信时,其波特率分为固定和可变两种方式,在波特率可变的方式中,可采用()的溢出率来设定和计算波特率。 二、选择题(从备选答案中选择一个正确答案,并将代号写在括号内。每题1分,共10分) 1、在单片机中,通常将一些中间计算结果放在()中。 A、累加器 B、控制器 C、程序存储器 D、数据存储器 2、单片机8051的XTAL1和XTAL2引脚是()引脚。 A、外接定时器 B、外接串行口 C、外接中断 D、外接晶振 3、当标志寄存器P S W的R S O和R S I分别为1和0时,系统选用的工作寄存器组为()。 A、组0 B、组1 C、组2 D、组3 4、下列指令中错误的是()。 A、MOV A,R4 B、MOV 20H,R4 C 、MOV R4,30H D、MOV﹫R4,R3 5、8051单片机共有()中断源。

微机原理及其应用报告:数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T形网络,电流型输出模式,电流输出稳定时间为1us,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC寄存器和一个8位D/A转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式: 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A转换数据的输入锁存和D/A转换输出分两步完成。首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU同时对所有D/A转换器发出输入所存数据打入DAC寄存器的控制信号,即可实现

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

单片机试题及答案

试题1 一、填空题(25分,每空1分) 1. AT89S51单片机为8 位单片机 2. MCS-51系列单片机的典型芯片分别为 8031 、 8051 、 8751 。 3. AT89S51的异步通信口为全双工(单工/半双工/全双工) 4. AT89S51有 2 级中断, 5 个中断源 5. AT89S51内部数据存储器的地址范围是00H~7FH ,位地址空间的字节地址范围是20H~2FH ,对应的位地址范围是00H~7FH ,外部数据存储器的最大可扩展容量是64K 。 6. AT89S51单片机指令系统的寻址方式有__寄存器寻址__、直接寻址_、寄存器间接寻址__、_立即寻址_、基址寄存器加变址寄存器寻址。 7. 如果(A)=34H,(R7)=0ABH,执行XCH A, R7;结果(A)= 0ABH ,(R7)= 34H 。 8. 82C55可以扩展3个并行口,其中8 条口线具有位操作功能; 9. 当单片机复位时PSW= 00 H,这时当前的工作寄存器区是0区,R4所对应的存储单元地址为04 H。 10. 若A中的内容为67H,那么,P标志位为 1 。 11. 74LS138是具有3个输入的译码器芯片,其输出作为片选信号时,最多可以选中8 片芯片。 二、判断以下指令的正误:(5分) (1)MOV 28H,@R4;(×) (2)INC DPTR;(√) (3)DEC DPTR ;(×) (4)CLR R0 ;(×) (5)MOV T0,#3CF0H;(×) 三、简答题 1、如果(DPTR)=507BH,(SP)=32H,(30H)=50H,(31H)=5FH,(32H)=3CH,则执行下列指令后: POP DPH; POP DPL; POP SP; 则:(DPH)= ___3CH___;(DPL)=___5FH___;(SP)=___50H___; 2、采用6MHz的晶振,定时1ms,用定时器方式0时的初值应为多少?(请给出计算过程)(6分) 解:∵采用6MHZ晶振 ∴机器周期为2us (213-X)×2×10-6=1×10-3 ∴X=7692(D)=1E0CH=1 1110 0000 1100(B), 化成方式0要求格式为 1111 0000 1100 B,即0F00CH 综上可知:TLX=0CH, THX=0F0H 3. 分析下列程序的功能(5分)

数模转换DAC0832的应用(含电路和源程序)

[实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。[实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出 [实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。 [实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出,转换时间大约为1us。使用单电源+5V―+15V供电。参考电压为-10V-+10V。在此我们直接选择+5V 作为参考电压。DAC0832 有三种工作方式:直通方式,单缓冲方式,双缓冲方式;在此我们选择直通的工作方式,将XFER WR2 CS 管脚全部接数字地。管脚8 接参考电压,在此我们接的参考电压是+5V。我们在控制P0口输出数据有规律的变化将可以产生三角波,锯齿波,梯型波等波形了。 [硬件电路] [源代码]

//TX-1BDA测试程序,下载后可观察到D13发光二极管由暗变亮再熄//灭过程, #include sbit wela=P2^7; //数码管位选 sbit dula=P2^6; //段选 sbit dawr=P3^6; //DA写数据 sbit csda=P3^2; //DA片选 unsigned char a,j,k; void delay(unsigned char i) //延时 { for(j=i;j>0;j--) for(k=125;k>0;k--); } void main() { wela=0; dula=0; csda=0; a=0; dawr=0; while(1) { P0=a; //给a不断的加一,然后送给DA delay(50); // 延时50ms 左右,再加一,再送DA。 a++; } } 注意:随着给DA送的数字量的不断增加,其转换成模拟量的电流也不断的增大,所以我们观察发光二极管D12就会从暗变亮,熄灭。。。

单片机试题及答案

C51单片机习题及答案 第一章 1-1选择 1.计算机中最常用的字符信息编码是( A ) A ASCII B BCD码 C 余3码 D 循环码 2.要MCS-51系统中,若晶振频率屡8MHz,一个机器周期等于(A ) μs A 1.5 B 3 C 1 D 0.5 3.MCS-51的时钟最高频率是( A ). A 12MHz B 6 MHz C 8 MHz D 10 MHz 4.以下不是构成的控制器部件(D ): A 程序计数器、B指令寄存器、C指令译码器、D存储器 5.以下不是构成单片机的部件(D ) A 微处理器(CPU)、B存储器C接口适配器(I\O接口电路) D 打印机 6.下列不是单片机总线是(D ) A 地址总线 B 控制总线 C 数据总线 D 输出总线 7.-49D的二进制补码为.( B ) A 11101111 B 11101101 C 0001000 D 11101100 8.十进制29的二进制表示为原码(C ) A 11100010 B 10101111 C 00011101 D 00001111 9. 十进制0.625转换成二进制数是( A ) A 0.101 B 0.111 C 0.110 D 0.100 10 选出不是计算机中常作的码制是( D ) A 原码 B 反码C补码 D ASCII 1-2填空 1.计算机中常作的码制有原码、反码和补码 2.十进制29的二进制表示为00011101 3.十进制数-29的8位补码表示为.11100011 4.单片微型机CPU、存储器和I\O接口三部分组成. 5.若不使用MCS-51片内存器引脚EA必须接地. 6.输入输出设备是计算机与外部世界交换信息的载体. 7.十进制数-47用8位二进制补码表示为.11010001 8.-49D的二进制补码为.11101101 9.计算机中最常用的字符信息编码是ASCII 10.计算机中的数称为机器数,它的实际值叫真值。 1-3判断 1.我们所说的计算机实质上是计算机的硬件系统与软件系统的总称。(√) 2.MCS-51上电复位时,SBUF=00H。(×)。SBUF不定。 3.使用可编程接口必须处始化。(√)。 4.8155的复位引脚可与89C51的复位引脚直接相连。(√) 5.MCS-51是微处理器。(×)不是。 6.MCS-51系统可以没有复位电路。(×)不可以。复位是单片机的初始化操作。 7.要MCS-51系统中,一个机器周期等于1.5μs。(×)若晶振频率屡8MHz,才可能为1.5μs 8.计算机中常作的码制有原码、反码和补码(√) 9.若不使用MCS-51片内存器引脚EA必须接地. (√) 10.十进制数-29的8位补码表示为.11100010(×)

接口芯片dac0832的应用三角波、梯形波两种波形

课程设计(论文)
课程名称: 微型计算机组成原理与接口技术
组 别:
第十组
题 目: 接口芯片 DAC0832 的应用
院 (系): 信息与控制工程系
专业班级: 电子信息科学与技术 1202
姓 名:
学 号:
指导教师:
2015 年 月 日

西安建筑科技大学华清学院 《微机原理与接口技术》课程设计(论文)任务书
专业班级:电子信息科学与技术1202学生姓名:
一、课程设计(论文)题目
指导教师(签名):
波形发生器的设计 二、本次课程设计(论文)应达到的目的
基于 Proteus 软件,绘制 8086 微处理器和 DAC0832 外围电路,实 现波形发生器的设计。
三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术 参数、设计要求等)
1、利用 Proteus 软件绘制由 8086 微处理器和 DAC0832 构造波形发生器; 2、利用汇编语言编写程序实现三角波、梯形波两种波形; 3、综合调试实现仿真功能。 四、应收集的资料及主要参考文献: 1.刘乐善.微型计算机接口技术及应用[M].武汉:华中科技大学出版社, 2000 2.陈红卫.微型计算机基本原理与接口技术[M].北京:科学出版社,2003 3.顾晖,梁惺彦等.基于8086和Proteus仿真[M].北京:电子工业出版社, 2011.

五、审核批准意见
教研室主任(签字)

摘要
D/A 转换器即 DAC 是指把输入的数字信号量信息转换成为对应的模拟量信 号输出。本次课设是采用 DAC0832 波形发生器来设计和实现,系统利用 8086 作 为系统的核心来控制整个电路,加上 74154TTL 4 线—16 线译码器和 74273TTL 带公共时钟复位八 D 触发器以及 7427TTL3 输入端三或非门等器件的使用来完成 整个电路的设计,从而实现三角波,方波,锯齿波和阶梯波等波形。
关键字:DAC0832 波形发生器

单片机6习题答案

第六章 MCS-51的定时/计数器 1.如果采用晶振的频率为3MHz,定时器/计数器工作方式0、1、2下,其最大的定时时间为多少? 解答:因为机器周期 Tcy=12/fosc=12/3*2*10的负6次方=4us,, 所以定时器/计数器工作方式0下,其最大定时时间为TMAX=2d的13次方*TC=2的13次方*4*10的负6次方=8.192ms 同样可以求得方式1下的最大定时时间为262.144ms;方式2下的最大定时时间为1024ms。 2.定时/计数器用作定时器时,其计数脉冲由谁提供?定时时间与哪些因素有关? 答:定时/计数器作定时时,其计数脉冲由系统振荡器产生的内部时钟信号12分频后提供。定时时间与时钟频率和定时初值有关。 3.定时/计数器用作定时器时,对外界计数频率有何限制? 答:由于确认1次负跳变要花2个机器周期,即24个振荡周期,因此外部输入的计数脉冲的最高频率为系统振荡器频率的1/24。 4.采用定时器/计数器T0对外部脉冲进行计数,每计数100个脉冲后,T0转为定时工作方式。定时1ms后,又转为计数方式,如此循环不止。假定MCS-51单片机的晶体振荡器的频率为6MHz,请使用方式1实现,要求编写出程序。 解答:定时器/计数器T0在计数和定时工作完成后,均采用中断方式工作。除了第一次计数工作方式设置在主程序完成外,后面的定时或计数工作方式分别在中断程序完成,用一标志位识别下一轮定时器/计数器T0的工作方式。编写程序如下: ORG 0000H LJMP MAIN ORG 000BH LJMP IT0P MAIN: MOV TMOD,#06H MOV TL0,#156 MOV TH0,#156 SETB GATE SETB TR0 SETB ET0 SETB EA CLR F0 WAIT: AJMP WAIT IT0P: CLR EA

单片机试题及答案完整版

单片机试题及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

试题1 参考答案 一、填空题(25分,每空1分) 1. AT89S51单片机为 8 位单片机 2. MCS-51系列单片机的典型芯片分别为 8031 、 8051 、 8751 。 3. AT89S51的异步通信口为全双工(单工/半双工/全双工) 4. AT89S51有 2 级中断, 5 个中断源 5. AT89S51内部数据存储器的地址范围是 00H~7FH ,位地址空间的字节地址范围是 20H~2FH ,对应的位地址范围是00H~7FH ,外部数据存储器的最大可扩展容量是64K 。 6. AT89S51单片机指令系统的寻址方式有__寄存器寻址__、____直接寻址____、___寄存器间接寻址_____、_立即寻址____、基址寄存器加变址寄存器寻址。 7. 如果(A)=34H,(R7)=0ABH,执行XCH A, R7;结果(A)= 0ABH , (R7)= 34H 。 8. 82C55可以扩展 3 个并行口,其中8 条口线具有位操作功能; 9. 当单片机复位时PSW=00 H,这时当前的工作寄存器区是0区,R4所对应的存储单元地址为04 H。 10. 若A中的内容为67H,那么,P标志位为 1 。 11. 74LS138是具有3个输入的译码器芯片,其输出作为片选信号时,最多可以选中8 片芯片。 二、判断以下指令的正误:(5分) (1)MOV 28H,@R4;(×) (2)INC DPTR;(√) (3)DEC DPTR ;(×) (4)CLR R0 ;(×) (5)MOV T0,#3CF0H;(×) 三、简答题 1、如果(DPTR)=507BH,(SP)=32H,(30H)=50H,(31H)=5FH,(32H)=3CH,则执行下列指令后: POP DPH; POP DPL; POP SP; 则:(DPH)= ___3CH___;(DPL)=___5FH___;(SP)=___50H___;(6分) 2、采用6MHz的晶振,定时1ms,用定时器方式0时的初值应为多少(请给出计算过程)(6分) 解:∵采用6MHZ晶振 ∴机器周期为2us (213-X)×2×10-6=1×10-3 ∴X=7692(D)=1E0CH=1 1110 0000 1100(B), 化成方式0要求格式为 1111 0000 1100 B 即0F00CH 综上可知:TLX=0CH, THX=0F0H 3. 分析下列程序的功能(5分) PUSH ACC PUSH B POP ACC POP B 解: 该程序的功能是通过累加器ACC与寄存器B数据交换。 C_39H_

应用笔记--DAC0832双电源

DAC0832双电源使用调试心得 2012-1-12 经过了一两天的制版和软件的调试,DAC0832的双电源的D/A转化已经完成,成功输出三角波。下面来分享自己的制作经历。 先贴下原理图和PCB:

设计的时,考虑布线的方便,8个数据线的顺序是打乱的,实现了真正的单面板,没有一根跳线的。 下面介绍下这块芯片的基本原理:8位并行、中速(建立时间1us)、电流型、低廉(10-20元)。 图1.1内部的控制方式(摘录DAC0832的Datasheet) 图1.2相应电路的具体介绍 在这次的实际使用时第8号脚(Vref)接的是负电源,可以用图1.2的电路理解,运放的负端和正端因为虚端而成零电位,因此运放的负端经过T型电阻网络向Vref(负电压) (Vo-V-)/Ro=(V- -Vref)/R 流出电流Ia,则Vo经过Ro向运放负端流出电流Ib,明显,Ia=Ib,由 可得,Vo输出为正电压。在这里,我们使用了反相放大电路,可得到的是Vo是正电压。如果你觉得还有点奇怪的话,那说明你还要看下模电书本了!还有,这里如果我们是从Vref 端经T型电阻网络向运放负端流电流,则必有运放负端经过Ro向输出Vo流出电流,这样的画输出就成负电压(运放也是双电源供电)!双电源电路实现的原理介绍就到这边。 在自己的制作过程中因自己的一个小马虎而使我调试了差不多一天。我是用Atmega16编的软件的三角波的程序,实现电压的0V~5V的三角波。程序在这边就不献丑了,这几十条代码。因为我的板子上的接口的数据线(D0~D7)排列是无序的,使我在与单片机的引脚连接时有点眼花缭乱。刚开始写好的三角波的程序一直不能实现标准的三角波,用示波器看

期末考试单片机习题和答案

试题1 1. 访问绝对地址时,一般需包含的头文件是(B )。 A. reg51.h B. absacc.h C. intrins.h D. startup.h 2. 下列说法正确的是( D)。 A.各中断发出的中断请求信号,都会标记在IE寄存器中。 B.各中断发出的中断请求信号,都会标记在TMOD寄存器中。 C.各中断发出的中断请求信号,都会标记在IP寄存器中。 D.各中断发出的中断请求信号,都会标记在TCON与SCON寄存器中。 3. 8255A是可编程的并行输入/输出接口芯片,内部有(B )个8位的并行口。 A. 2个 B. 3个 C. 4个 D. 5个 4. 要使80C51能够响应定时器T1中断、串行接口中断,它的中断允许寄存器IE的内容应是(A )。

A. 98H B. 84H C. 42H D. 22H 5. 在80C51单片机中,要求计数值较大时应采用(B )。 A.方式0 B.方式1 C.方式2 D.方式3 6. 在80C51单片机中,下面哪个不属于特殊功能寄存器(A)。 A. PC B. IP C. SCON D. IE 7. 已知1只共阳极LED显示器,其中a笔段为字形代码的最低位,若需显示数字6,小数点暗,则它的字形代码应为(D )。 A. 06H B. 30H C. F9H

D. 82H 8. 若MCS-51单片机使用晶振频率为6MHz时,其复位持续时间应该超过( C)。 A. 2μs B. 8μs C. 4μs D. 1ms 9. 若存储器容量为32K ×16位,则(A )。 A.地址线为15根,数据线为16根 B.地址线为32根,数据线为16根 C.地址线为16根,数据线为32根 D.地址线为16根,数据线为15根 10. 通常在单片机的应用系统中,存放程序的存储器可采用(B)。 A. ROM和RAM B. ROM C. RAM D.光盘 填空题 (填空题共10题,每题2分) 1.若采用6MHz的晶体振荡器,则80C51单片机的振荡周期为,机器周期为。答案为:1/6us ,2us 。

相关主题
文本预览
相关文档 最新文档