当前位置:文档之家› 信号波形合成实验电路设计

信号波形合成实验电路设计

信号波形合成实验电路设计
信号波形合成实验电路设计

信号波形合成实验电路

小组成员:李于飞、耿红鹏、赵珑

摘要:本设计通过产生不同频率和幅值的正弦信号,并将这些信号合成为近似的方波和三角波,构成了信号波形合成实验电路。本系统主要由8个部分构成:由NE555构成的方波振荡电路;主要由集成计数器74LS90和作为D触发器的CD4013构成的分频电路;使用LM318构成的窄带通滤波电路;由双运放LM318构成的移相电路;加法器合成电路;三角波合成电路;使用AD637构成的真有效值检测电路;MSP430F149单片机控制液晶显示电路。在本设计中,方波振荡电路可产生300KHZ频率的方波,经过分频电路和隔直电容以后成为双极性方波。再经过滤波和放大以后得到了所需的各次谐波,其经过移相电路之后初相位相同,即可通过加法器合成为近似的方波和三角波。各次谐波有效值可检测并由单片机控制对幅度进行显示。系统工作稳定,基本达到了题目的所有要求。

关键字:方波振荡电路;分频;移相;真有效值;信号合成。

目录

一、系统方案………………………………………………………

1.1方波发生电路方案………………………………………….……

1.2分频电路设计方案………………………………………….......

1.3 滤波电路设计方案………………………………………………

1.4移相电路设计方案.....................................

1.5 信号合成电路设计方案………………………………………....

1.6信号检测和显示方案………………………………………

二、理论分析与计算………………………………………

2.1系统原理框图……………………………………

2.2方波信号的合成与分解…………………………………...

2.3三角波信号合成………………………………………..

2.4反相加法电路.......... .............................................

三、总体方案的设计与实现……………………………………….

3.1 555振荡电路原理分析与计算...........................................

3.2 分频电路...............................................................

3.3方波——三角波变换电路............................................

3.4三角波——正弦波变换电路........................................

3.5移相电路..................................................................

3.6比例运算和合成电路......................................................

3.7AD转换和液晶显示..............................................

四、实验测试及测试结果分析

4.1测试仪器.............................

4.2整机标准 ...............................

4.3合成电路结果..........................

4.4测试结果和分析........................

五、总结

一.系统方案

1.1 方波发生电路方案

方案一:采用分立元件实现非稳态的多谐振振荡器,然后根据需要加入积分电路等构成正弦、矩形、三角等波形发生器。这种信号发生器输出频率范围窄,而且电路参数设定较繁琐,相位也不一致,其频率大小的测量往往需要通过硬件电路的切换来实现,操作不方便。

方案二:采用555振荡电路或函数信号发生器ICL8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。波形的频率可以通过调节555定时器电路的外接滑动变阻器来进行调节。该电路具有成本低廉,频率可调,电路灵活方便,结构简单,低功耗,输入阻抗高,上升沿陡等的特点,不用依靠单片机。根据题意,本系统需要一个300kHz的方波,所以选择方案二,可满足要求。

方案三:由UA741集成运算放大器构成的方波信号发生器具有结构简单,调试方便,但它产生方波信号的可靠性差,易失真,稳定性差。

1.2 分频电路设计方案

方案一:利用数字电路设计分频电路。通过计数器计数来实现,由待分频的时钟边沿触发集成计数器计数,当计数器到规定值时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循环下去。这种方法可以实现任意的整数分频电路,根据题意,选择方案一作为系统的分频方案。

方案二:使用编程方法实现分频电路。其原理与利用集成计数器相同,实现起来也十分简单,但分频得到的时钟可能会出现毛刺或不稳定的因素,适用于时钟要求不高的基本设计,且对于整数分频可以很容易地用计数器来实现,故不采用此方案。

1.3 滤波电路设计方案

由分频电路产生的单极性方波需要经过窄带通滤波电路形成正弦波。其带通的范围很窄,要与各次谐波的频率接近。

方案一:使用由LC网络组成的无源高阶巴特沃斯滤波器。其通带内相应最为平坦,衰减特性和相位特性都很好,对器件的要求也不高。但其在低频范围内有体积重量大,价格昂贵和衰减大等缺点。

方案二:采用实时DSP数字滤波技术,数字信号灵活性大,可以在不增加硬件成本的基础上对信号进行有效的滤波,但要进行滤波,需要A/D、D/A既有较高的转换速率,处理器具有较高的运算速度,成本高。

方案三:以集成运放LM318为核心的有源滤波电路,结构简单,所需元件少,成本低,且电路输入阻抗高、输出阻抗低,并有专门的设计软件。所以根据实际情况,选择方案三作为系统的滤波方案。用集成运放LM318和RC网络组成的二阶有源滤波电路器的滤波效果更好,幅频相应更接近理想特性,此外,它还具有一定的增益。故选此方案。

1.4 移相电路设计方案

方案一:利用RC移相电路。RC移相电路主要是由电容的电流超前电压90度这一特性。RC滞后移相电路是电阻在前面,电容在后面。输入信号从电阻进入,输出信号是从电容上输出,其与电容并联,电压相等,所以输出电路的电压也滞后电流。同理,RC超前移相电路是电容器在前面,电阻在后面。可通过改变RC的值来改变移相的度数,相移在0°—90°之间变化。使用RC移相电路输出波形受输入波形的影响,移相操作不方便,移相角度随所接负载和时间等因素的影响而产生漂移等。

方案二:使用双运放LM318做移相电路。此电路主要也运用了电容的电流超前电压90度这一特性。但其不是单纯的无源电路而是结合了集成运放的有源电路,其体积小、性能稳定,输入阻抗高,输出阻抗低,由它组成的移相电路具有电路简单、工作可靠、成本低、波形好、适应性强,而且可以提供180°的相移。还兼有放大和缓冲的作用,故选此方案。

方案三:使用数字移相技术实现。主要分为两类:一类为是运用直接数字式频率合成技术DDS;一类是利用单片机计数延时的方法实现;一类是先将模拟信号或移相角数字化,经移相后再还原成模拟信号。DDS技术的实现电路较为复杂;以D/A转换方式实现的移相,虽然所用元件少,但输出信号的频率难以细调,特别是移相的最小单位太大,只适合于对频率要求不高,且移相角度固定的场合;以延时输出方波的方式实现的移相,输出信号的频率以参考信号的频率为准,而参考信号的频率则可以精确给定,可用于对频率要求高,且需无级移相的场合,但其硬件电路比较复杂。

1.5 信号合成电路设计方案

方波信号经过波形变换和移相后,其输出幅度将有不同程度的衰减,合成前需要将各成分的信号幅度调整到规定比例,才能合成为新的合成信号。本课题采用反向比利运算电路实现幅度调整,采用反向加法运算实现信号合成。

1.6 信号检测和显示方案

信号检测和显示部分采用MSP430单片机,由于信号最高频率50KHz,采用有效值检测芯片AD637配合高频检波二极管和周围阻容元件制作一个平均值检测电路,送单片机的12位AD转换并换算,得到其幅值,送显示器LCD12864控制显示

二.理论分析与计算

2.1 系统原理框图

300KHZ 10KHZ 、30KHZ 、50KHZ

方波

电路 合成

+5V

图2-1 系统原理框图 三角波

-5V

2.2 方波信号的分解与合成

周期信号是由一个或几个、乃至无穷多个不同频率的谐波叠加而成的,因此周期信号可以分解成多个乃至无穷多个谐波信号。方波信号的傅里叶分解函数:

() ??

?

?

??+++=t t t Ud t f ωωωπ11115sin 5

13sin 31sin 4 (2-1)

在理想情况下,方波的偶次谐波应该无输出信号,始终为零电平,奇次谐波

中的一、三、五次谐波的幅度比为1:(1/3):(1/5)。信号源输出300KHz 的方波信号经过分频滤波电路后可以得到10KHz 、30KHz 、50KHz 的方波,其计算公式表示如下:

()()()()?+??+??+??=t 10502sin 6.0t 10302sin t 10102sin 33

3

3

1

πππt f (2-2)

频率为10KHz 的正弦波信号的峰峰值为6V ;、频率为30KHz 的正弦波信号的峰峰值为2V ;频率为50KHz 的正弦波信号的峰峰值为1.2V 。图2所示分别为1、3、5次谐波的分解与合成图。各次谐波合成方波的幅值为5V 。

图2.1—— 1、3、5次谐波的分解与合成

信号源 300KHZ

15分频 5分频 3分频 电容隔离

带通滤波,信号放

同相

加法器

2分频 2分频 2分频 单片机显

2.3三角波信号的合成

三角波信号的傅里叶变换公式如下:

()?

??

? ???-+-=t t t Ud t f ωωωπ22222225sin 13sin 1sin 853 (2-2) 将产生的10KHz 、30KHz 、50KHz 的合成方波信号的正弦信号,经过变换和合成得到一个近似的三角波形信号。

采用公式(2-1)变换取1、3、5次谐波分量可以得到近似三角波,即:

()()()()

t 10502sin 8.10t 10302sin .50t 10102.5sin 43

3

3

2

??+???-??=πππt f

(2-3)

经过计算三角波信号的幅度为5V 。 2.3 反相加法电路

把3个输入信号(Vi1、Vi2、Vi3)同时加到运放的反相端,其输入输出电压的关系为:

?

??

? ???+?+?-=V R V R V R R V 3i 32i 21i 1f o 111 当R1=R2=R3=10K 时,则有

()V V V R V

3i 2i 1i f o

.10++-=

若令Rf=10K,则()

V V V V 3i 2i 1i o ++-=

若输出端再接一级反相器则可消去负号,使V V V V 3i 2i 1i o ++= (2-4)

三.总体方案的设计与实现

3.1 555振荡电路原理分析与计算

由555定时器组成的多谐振荡器,多谐振荡器又称为无稳态触发器,它没有稳定的输出状态,只有两个暂稳态。电路图如图2所示。在电路处于某一暂稳态后,经过一段时间可以自行触发翻转到另一暂稳态。两个暂稳态自行相互转换而输出一系列矩形波。多谐振荡器可用作方波发生器。电源接通后,V Cc 通过电阻R 1、R 2向电容C 充电。当电容上电V C =2/3Vcc 时,阀值输入端⑥受到触发,比较器C 1翻转,输出电压Vo=0,同时放电管T 导通,电容C 通过R 2放电;当电容上电压V C =1/3Vcc ,比较器C 2工作,输出电压Vo 变为高电平。C 放电终止、又重新开始充电,周而复始,形成振荡。其振荡周期与充放电的时间有关:

充电时间:C R R V V V V C R R t CC

CC CC CC

PH

)(7.03132ln )(2121+≈????

?

?

?

?--?+=

放电时间:C R V V V V C R t CC CC

CC

CC PL

227.03132ln ≈????

?

?

??

--= 振荡周期:T=t PH +t PL ≈0.7(R 1+2R 2)C 振荡频率:f=1/T=

C

R R t t PL PH

)2(44

.1121+≈+ 占空系数: 2

12

1

2R R R R T t D PH ++==

当R 2>>R 1时,占空系数近似为50%。

图3.1——方波发生电路

图3.2——仿真波形

3.2 分频电路

由74LS90、74LS08、CD4013三片芯片组成。先将300KHz的方波信号进行3分频、5分频、15分频,再通过CD4013 D触发器二分频,最终得到50KHz、30KHz、10KHz的正弦波信号。

74LS90不仅可以用于计数,还能用于分频,一片74LS90可构成最大进制计数器是十进制,若分频数大于10,则要用两片或多片级联,级联后高位的周期即为分频后的周期,但占空比并非50%,这就需要用D触发器对分频后的方波进行整形。74LS08是四集成与门,在电路中起缓冲隔离的作用。CD4013是由两个相同的、相互独立的数据型触发器构成。每个触发器有独立的数据、置位、复位、时钟输入和Q及Q输出,电路中CD4013的接法是将R、S都接地并让Q接到D 上,置位和复位与时钟无关,而分别由置位或复位线上的高电平完成。由D触发器构成的二分频电路,能够在分频的同时对波形整形。

图3.3——6分频

图3.4——10分频

图3.5——30分频

3.3 方波——三角波变换电路

方波——三角波变换电路采用由运算放大器组成的有源积分电路实现,见图所示。

56

7

U1B TL083I

C140.01U

R11200K

R10 3.6K

R9 3.6K GND

图3.6—— 方波——三角波变换电路

3.4 三角波——正弦波变换电路

三角波——正弦波变换电路采用单级RC 无源积分电路实现,见图所示。

R181K C170.01U

GND

图 3.7 三角波——正弦波变换电路

3.5 移相电路

在上述变换电路中曾出现过RC 积分电路的应用,则会产生一定的相移,为了使合成波形达到相位要求,必须实现三路波形同步,这里的移相电路便实现这个功能,见图6所示。其中图6(a )实现滞后相移90 o ;图6(b )实现超前相

移90 o

ui uo 1K

20K 2K

ui

uo

1K

20K

(a )滞后移相 (b )超前移相

图 移相电路

根据需要,后续电路可接入超前移相的或滞后移相的移相器。 3.6 比例运算和合成电路的分析和计算

课题要求合成后的波形类同于方波和三角波,则三个频率分量要满足傅立叶变换系数的要求,这里就需要系数矫正电路,即比例运算电路,通过比例调节后加到一个加法器组成的叠加电路中,实现所要达到的相应的波形。设计的电路见下图所示。

50KHz

10KHz

30KHz

5

6

7

GND 3

2

1

4

11

GND

ZONG HE

5

67

GND 5

6

7

GND

图3.8 比例运算和和叠加电路

在进行信号合成前,各波形(10KHz 的基波、30KHz 的三次谐波、50KHz 的五次谐波)的幅度和相位都要进行按规定调节好,以下探讨信号叠加前各波形之间的相位和关系。

1)方波

由傅立叶级数对方波予以分解可得

...)

sin 1...3sin 31(sin 4)(++++=t n n t t n A t f ??ωπ

可见各级谐波的系数比为51

:

31:1。合成方波时,据题意,kHz 10正弦波的峰

峰值为6V ,kHz 30正弦波的峰峰值为2V ,kHz 50正弦波的峰峰值应为1.2V 。另外,这些谐波要求初相位相同,由式可知,初相位均为零。各自所需幅值可通过调节三个放大器的放大量获得,初相可通过上一节对相位调节电路的调节来获

得。

2)三角波

同样由傅立叶级数对方波予以分解可得

...))1sin()

1(1sin 1...5sin 513sin 31(sin 4)(2222t n n t n n t t t n A t f ????ωπ++-+++-=

可见前三级各级谐波的系数比为25

1

:91:1-。合成三角波时,据题意,kHz

10正弦波的峰峰值为6V ,kHz 30正弦波的峰峰值为0.67V ,kHz 50正弦波的峰峰值应为0.24V 。另外,这些谐波中每隔一个相位取反。各自所需幅值可通过调节三个放大器的放大量获得,初相可通过上一节对相位调节电路的调节来获得。 3.7 AD 转换和液晶显示

单片机的任务就是测量某路的正弦波的幅值和送显示,其组成部分有精密检波电路、平滑滤波电路、单片机MSP430F149最小系统和LCD12864字符液晶显示器等几个部分组成,见图8所示。

图3.9 单片机检测和显示系统框图

电路原理为:

将经过AD637构成的真有效值检测电路输出的信号经单片机的A/D 转换后,再经过计算,将有效值换算为幅度,送给LCD 显示,通过手动切换来对各个正弦信号的幅度进行测量和显示。430F149单片机的内部A/D 转换为12位,其分辨率为1/1024,参考电压选用2.5V ,则一个字的测量误差为0.24%,小于题目所要求的5%,可达到要求。

AD637输入正弦信号,输出直流信号,直流信号的大小就是正弦信号的有效值。峰峰值与有效值的转换公式为:占空比为1的方波的有效值=峰峰值×0.5。 AD637的外部电容C1的选择至关重要,是影响测量准确度和响应时间的重要参数,尽管增加电容的容量可以减少纹波电压产生的交流误差,但稳定时间也会按比例增加,使测量时间大为延长。外围电路可通过第9脚和第6脚设置一可调电阻来调节,但难以达到高准确度要求。 (1)软件流程图

被测信号 10KHz 、 30 KHz 、 50 KHz 、 正弦信号 三角信号

AD637有效值检测电路

MSP430F149 单 片 机

AD 转换

LCD 液晶显示器

D-BUS

C-BUS

初始化:端口,显示,5路A/D 转换

调用数据 处理函数

调用LCD 显示函数 A/D 转换

开始

图 3-10

图3-11 真有效值检测电路原理图

四.实验测试及测试结果分析

4.1 测试仪器

数字示波器TDS2012B ;数字万用表DT890;函数信号发生器EE1641B1;双路输出直流稳压电源EM1715A 。 4.2.整机标准

1)电源供电:双DC5V ±2V ,60mA ;DC3.3V ±2V ,60mA ;双DC15V ±2V ,1A ;

2)使用环境:温度-20O C ——+80 O C ;湿度0——95%RH 3)外观尺寸 420×360×75

4)MCU检测系统的检测误差:小于等于2%

4.3方波合成电路结果

用示波器分别观察用于合成方波的各次谐波的波形,再分别观察通过加法器合成的波形,数据如下:

f(理论)f(实际)Vp-p(理论) Vp-p(实际) 数据

谐波

基波10KHZ 10.68KHZ 6V 6.00V

三次谐波30KHZ 30.12 2V 2.02V

五次谐波50KHZ 50.51KHZ 1.2V 1.17V

4.4测试结果及分析

五、总结

本设计较完满的完成了题目基本部分的要求,还较好的完成题目发挥部分的要求。采用运算放大器和单片机等部分芯片,完成了300KHz方波信号源电路,30分频电路、10分频电路和6分频电路,10KHz带通滤波电路、30KHz带通滤波电路、50KHz带通滤波电路,10KHz移相电路、30KHz移相电路、50KHz移相电路,方波和三角波合成电路,正弦波有效值单片机测量电路等单元电路的设计和制作,制作工作量饱满,在测试的过程中,需要多次调整滤波电路的中心频率以及各部分电路的放大倍数才能输出符合标准的正弦信号,避免了波形失真的问题。本设计很好的体现了信号的合成与分解,增强了团队合作精神。通过测试,获得了符合设计要求的正弦波信号和合成方波信号、三角波信号。

参考文献

【1】周良权、傅恩锡、李世馨编. 模拟电子技术基础.北京:高等教育出版社, 2005年.

【2】杨志忠主编. 数字电子技术高等教育出版社,2003年.

【3】黄争. 德州仪器高性能单片机和模拟器件在高校中的应用和选型指南.上海:BEIJING 德州仪器半导体技术有限公司大学计划部,2010年.

【4】黄争. 运算放大器应用手册——基础知识篇.北京:电子工业出版社2010年.

《解题思路》信号波形合成实验电路(2)

信号波形合成实验电路(C 题) 设计任务:设计制作一个电路,能够产生多个不同频率的正弦信号,并将这些信号再合成为近似方波和其他信号。 1.基本要求 (1)方波振荡器的信号经分频与滤波处理,同时产生频率为10kHz 和30kHz 的正弦波信号,这两种信号应具有确定的相位关系(要求2个信号来自同一信号源); 需要分频,所以振荡器产生150kHz 的信号。3分频得到50kHz ,5分频得到 30kHz 、15分频得到10kHz 。 (2)产生的信号波形无明显失真,幅度峰峰值分别为6V 和2V ; 方波的展开式:)7sin 7 15sin 513sin 31(sin 4)( ++++=t t t t h t f ωωωωπ 其中h 是方波的幅度(一半高度)h=2.36V ,方波高度4.71V 。 采用RLC 串联谐振电路作为选频电路,对方波进行频谱分解。其中RLC 分别选:对于10kHz 的基波,1、10mH 、25.36nF 、Q=100;对于30kHz 的3次谐波,1、10mH 、2.8nF 、Q=100。 采用低通开关电容滤波器TLC04,截止频率设为40kHz 需要2MHz 的时钟,20kHz 需要1MHz 的时钟。需要用运放组成带通滤波器。 (3)制作一个由移相器和加法器构成的信号合成电路,将产生的10kHz 和 30kHz 正弦波信号,作为基波和3次谐波,合成一个近似方波,波形幅度为5V 。 制作一个移相网络,使得两路信号同相,然后叠加即可(运放实现)。 2.发挥部分 (1)再产生50kHz 的正弦信号作为5次谐波,参与信号合成,使合成的波 形更接近于方波; 用运放组成带通滤波器(运放实现)。 (2)根据三角波谐波的组成关系,设计一个新的信号合成电路,将产生的 10kHz 、30kHz 等各个正弦信号,合成一个近似的三角波形; 三角波的展开式)7sin 7 15sin 513sin 31(sin 8)(2222 +-+-=t t t t h t f ωωωωπ, 将上一步中的3种波形按这一系数合成三角波。 (3)设计制作一个能对各个正弦信号的幅度进行测量和数字显示的电路,测 量误差不大于±5%; 采用平均值检波电路检波,然后用AD 采集、显示即可(MCU 实现)。 (4)其他。 可以添加语音功能(ISD1420实现)。

方波_三角波发生电路实验报告

河西学院物理与机电工程 学院 综合设计实验 方波-三角波产生电路 实验报告 学院:物理与机电工程学院 专业:电子信息科学与技术

:侯涛 日期:2016年4月26日 方波-三角波发生电路 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波的波形发生器。 指标:输出频率分别为:102HZ、103HZ和104Hz;方波的输出电压峰峰值VPP≥20V 一、方案的提出 方案一: 1、由文氏桥振荡产生一个正弦波信号。 2、把文氏桥产生的正弦波通过一个过零比较器从而把正弦波转换成方波。 3、把方波信号通过一个积分器。转换成三角波。 方案二: 1、由滞回比较器和积分器构成方波三角波产生电路。 2、然后通过低通滤波把三角波转换成正弦波信号。 方案三: 1、由比较器和积分器构成方波三角波产生电路。

2、用折线法把三角波转换成正弦波。 二、方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f0时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的方波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化围很小的情况下使用。然而,指标要求输出频率分别为102HZ、103HZ和104Hz 。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波、三角波发生器原理如同方案二。比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率围的限制。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 三、工作原理: 1、方波、三角波发生电路原理

信号波形合成实验报告之欧阳家百创编

信号波形合成实验电路 欧阳家百(2021.03.07) 摘要:本设计包含方波振荡电路,分频电路,滤波电路,移相电路,加法电路,测量显示电路。题目要求对点频率的各参数处理,制作一个由移相器和加法器构成的电路,将产生的10KHz 和30KHz 正弦信号作为基波和三次谐波,合成一个波形幅度为5V、近似于方波的波形。振荡电路采用晶振自振荡并与74LS04 结 合,产生6MHz 的方波源。分频电路采用74HC164与74HC74分频出固定频率的 方波,作为波形合成的基础。滤波采用TI公司的运放LC084,分别设置各波形 的滤波电路。移相电路主要处理在滤波过程中相位的偏差,避免对波形的合成结 果造成影响。 关键词:方波振荡电路分频与滤波移相电路加法器 Experimental waveform synthesis circuit Abstract:The design consists of a square wave oscillator circuit, divider circuit, filtercircuit, phase shift circuits, addition circuits, measurement display circuit. Subject ofthe request of the point frequency of the various parameters of processing, productionof a phase shifter circuit consisting of adders, will have the 10KHz

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

信号波形合成

信号波形合成设计报告 一、设计要求: 1、 方波振荡器的信号经分频与滤波处理,同时产生频率为10kHz 、30kHz 和50KHz 的正弦波信号,这三种种信号应具有确定的相位关系 2、 制作一个由移相器和加法器构成的信号合成电路,将产生的10kHz 和 30kHz 正弦波信号,作为基波和3次谐波,合成一个近似方波。 3、 根据三角波谐波的组成关系,设计一个新的信号合成电路,将产生的 10kHz 、30kHz 、50KHz 的正弦信号,合成一个近似的三角波形 (具体阐述设计的功能要求和指标要求) 二、方案设计: 傅里叶分析: 任何具有周期为T 的波函数f(t)都可以表示为三角函数所构成的级数之和即:∑∞=++=1 0)sin cos (21)(n n n t n b t n a a t f ωω。 此方波为奇函数,它没有常数项。数学上可以证明此方波可表示为: )7sin 715sin 513sin 31(sin 4)( ++++=t t t t h t f ωωωωπ ∑∞=--=1])12sin[()1 21( 4n t n n h ωπ 同样,对于三角波也可以表示为: )7sin 7 15sin 513sin 31(sin 8)(2222 +-+-=t t t t h t f ωωωωπ ∑∞=----=1212)12sin() 12(1)1(8n n t n n h ωπ。 (写出设计的整体思路构架,画出框图,说明各部分的主要作用.) 三、设计过程 由有源振荡器产生19.2MHz 信号经可编程逻辑器件EPM7128SLC84-7产生一个

300kHz的方波,再经3路分频器,最终输出50kHz、30kHz和10kHz的方波信号。四:测试数据 1、方波产生电路:

信波形合成实验电路

信波形合成实验电路 YUKI was compiled on the morning of December 16, 2020

信号波形合成实验电路(C 题) 内容介绍:该项目基于多个正弦波合成方波与三角波等非正弦周期信号的 电路。使用555电路构成基准的方波振荡信号,以74LS161实现前置分频形成10KHz 、30kHz 、50kHz 的方波信号,利用TLC04滤波器芯片获得其正弦基波分量,以TLC084实现各个信号的放大、衰减和加法功能,同时使用RC 移相电路实现信号的相位同步;使用二极管峰值包络检波电路获得正弦信号的幅度,以MSP430作为微控制器对正弦信号进行采样,并且采用段式液晶实时显示测量信号的幅度值。 1方案 题目分析 考虑到本设计课题需要用多个具有确定相位和幅度关系的正弦波合成非正弦周期信号,首选使用同一个信号源产生基本的方波振荡,使得后级的多个正弦波之间保持确定的相位关系。 在滤波器环节,为了生成10kHz 、30kHz 和50kHz 的正弦波,我们需要使用三个独立的滤波器,由于输入滤波器的是10kHz 、30kHz 和50kHz 的方波信号,所以可以使用带通滤波器或者低通滤波器,并且尽量维持一致的相位偏移。 从Fourier 信号分析理论看,合成 数学上可以证明此方波可表示为: )7sin 7 1 5sin 513sin 31(sin 4)( ++++= t t t t h t f ωωωωπ 三角波也可以表示为: )7sin 7 1 5sin 513sin 31(sin 8)(2222 +-+-=t t t t h t f ωωωωπ

TI杯模拟电子设计大赛信号波形合成实验电路

TI杯模拟电子设计大赛 信号波形合成的设计与实现 参赛学校: 参赛队员: 指导老师:

摘要 生活中离不开信号,我们时时刻刻都在和信号打着交道,正弦波,方波这两种波是最基本的波形,我们通过设计方波的产生来更加深刻了解到信号的产生。 Abstract Life is inseparable from the signal, we all the time and signal name of dealings, sine wave, square wave are the two waves in the most basic waveform. Now we design a products to generate square wave signal to know the wave deeply . 一.设计思路 采用单片机430 来控制输出值的显示。基本的流程图如下所示:

又因为我们将方波傅利叶分解出得出如上的图,我们发现方波就是基波,三次谐波,五次谐波组成。 对三角波分解,如下图 从图中,我们知道三角波是三次谐波翻转180度,然后和基波与五次谐波相加所得,其中因

为别的谐波幅值不太,我们可以不做考虑。 二.方案论证 1、方波的产生方案论证和选择 方波是要设计的基础部分,下面产生的任何波形都是在这个波上产生的。 方案一:采用专用DDS芯片产生方波。优点:软件设计,控制方便,电路易实现。但是因为题目要求是“方波振荡器的信号经分频与滤波处理”,也就是说,软件控制不是题目想要的。 方案二:采用晶振来产生。用60M的晶振来产生方波,通过对60M的有源晶振分频来产生频率分别为10K Hz,30K Hz,50K Hz 的方波,但这样产生的分频电路过于复杂,不利于系统的搭建。 方案三:利用555产生出一定频率的方波。根据后面的要求,我们直接用555产生50K Hz 和60K Hz的方波 为了后面的设计,又因为555的技术已经很成熟了,选择方案三,使用555来直接产生方波。 2、分频与滤波 通过RC振荡来滤波,为了得到毛刺少的波,我们用三阶滤波。 3、移相电路设计方案论证和选择 方案一:由三相输入隔离变压器二次绕组接成12边形的移相电路t每相有3个绕组通过特殊的连接方法组成。其存在着如体积大移相变化率>5 等诸多缺点。 方案二:用运放和R,C 来调节翻转的角度。R ,C 电路在输入输出时会有90度的迟滞。 根据题目的要求,我们只要在0~90度可调与一个反向器就好。 4加法器的设计方案 根据题目要求,只要可调就好。 5.电源方案的选择与论证 方案一:采用升压型稳压电路。用两片MC34063芯片分别将3V的电池电压进行直流斩波调压,得到5V 和12V的稳压输出。只需使用两节电池,节省了电池,又减小了系统体积重量。但该电路供电电流沁,供电时间短,无法使用相对庞大的系统稳定运作。 方案二:采用三端稳压集成7805与7905分别得到5V和-5V的稳定电压。利用该方法方便简单,工作稳定可靠。 综上所述,选择方案二,采用三端集成稳压器电路7805和7905。 三.信号波形系统的组成: 1方波的产生的电路设计 方波是由555发生器,二极管,三极管以及电阻,电容组成。其原理图如图1,图2所示。

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

信号波形合成实验电路设计

信号波形合成实验电路 小组成员:李于飞、耿红鹏、赵珑 摘要:本设计通过产生不同频率和幅值的正弦信号,并将这些信号合成为近似的方波和三角波,构成了信号波形合成实验电路。本系统主要由8个部分构成:由NE555构成的方波振荡电路;主要由集成计数器74LS90和作为D触发器的CD4013构成的分频电路;使用LM318构成的窄带通滤波电路;由双运放LM318构成的移相电路;加法器合成电路;三角波合成电路;使用AD637构成的真有效值检测电路;MSP430F149单片机控制液晶显示电路。在本设计中,方波振荡电路可产生300KHZ频率的方波,经过分频电路和隔直电容以后成为双极性方波。再经过滤波和放大以后得到了所需的各次谐波,其经过移相电路之后初相位相同,即可通过加法器合成为近似的方波和三角波。各次谐波有效值可检测并由单片机控制对幅度进行显示。系统工作稳定,基本达到了题目的所有要求。 关键字:方波振荡电路;分频;移相;真有效值;信号合成。 目录 一、系统方案……………………………………………………… 1.1方波发生电路方案………………………………………….…… 1.2分频电路设计方案………………………………………….......

1.3 滤波电路设计方案……………………………………………… 1.4移相电路设计方案..................................... 1.5 信号合成电路设计方案……………………………………….... 1.6信号检测和显示方案……………………………………… 二、理论分析与计算……………………………………… 2.1系统原理框图…………………………………… 2.2方波信号的合成与分解…………………………………... 2.3三角波信号合成……………………………………….. 2.4反相加法电路.......... ............................................. 三、总体方案的设计与实现………………………………………. 3.1 555振荡电路原理分析与计算........................................... 3.2 分频电路............................................................... 3.3方波——三角波变换电路............................................ 3.4三角波——正弦波变换电路........................................ 3.5移相电路.................................................................. 3.6比例运算和合成电路...................................................... 3.7AD转换和液晶显示.............................................. 四、实验测试及测试结果分析 4.1测试仪器............................. 4.2整机标准 ............................... 4.3合成电路结果.......................... 4.4测试结果和分析........................

方波信号合成电路word版

题目方波信号合成电路的 摘要 本文根据傅里叶级数展开方法,将正弦波转换成为各频率的方波。首先,通过方波产生电路、分频电路、滤波电路获取所需频率的正弦波;再通过反相、调相、调幅电路得到需要的基波、3次谐波、5次谐波。最后将三路信号经加法电路将正弦波合称为方波。与其他方法相比具有成本廉价、可靠性高等优点。 关键词:波形合成器、傅里叶、方波、正弦波、滤波、调相、调幅。

目录 单元一:总体框图设计 (1) 单元二:方波振荡电路设计 (2) 单元三:方波振荡电路制作 (3) 单元四:分频器的设计与制作 (4) 单元五:滤波电路的制作 (5) 单元六:相位调整电路的制作 (6) 单元七:幅度调整电路的设计与制作 (7) 单元八:总调 (8) 单元九:参考文献 (9)

单元一:总体框图设计 一:项目总体方案分析 (1)总体方案图 基波:4KHZ 3次基波:12KHZ 5次基波:20KHZ (2)采用120khz方波分频: 二:方案分析 (1)方波产生电路 方案一:用555定时器构成多谐震荡器,占空比可调节(10%~90%),适合产生中低频。

方案二:用运放产生方波信号,若选用TLC083芯片,压摆率可达19V/us,带宽为10MHZ。可实现可调震荡。 经分析,本系统采用方案二。 (2)分频器 方案一:采用可编程逻辑控制器。 方案二:采用74LS161对120KHZ方波信号进行分频,可得占空比为50%的12KHZ、20KHZ信号,其电路简单,成本低。 经分析,本系统采用方案二。 (3)滤波电路 方案一:采用RC滤波,有源滤波电路。 方案二:TLC04芯片,四阶低通滤波。 经分析,本系统采用方案二。 (4)求和电路:用反向求和电路,不用同向求和电路。

波形产生电路实验报告

波形产生电路实验报告 一、实验目得 1。通过实验掌握由集成运放构成得正弦波振荡电路得原理与设计方法; 2、通过实验掌握由集成运放构成得方波(矩形波)与三角波(锯齿波)振荡电路得原理与设计方法。 二、实验内容 1. 正弦振荡电路 ?实验电路图如下图所示,电源电压为±12V。 (1)缓慢调节电位器R W,观察电路输出波形得变化,解释所观察到得现象、 (2)仔细调节电位器R W,使电路输出较好得正弦波形,测出振荡频率与幅度以及相对应得R W之值,分析电路得振荡条件。 (3)将两个二极管断开,观察输出波形有什么变化。 2、多谐振荡电路 (1)按图2 安装实验电路(电源电压为±12V)。观测V O1、V O2波形得幅度、周期(频率)以及V O1得上升时间与下降时间等参数。 (2)对电路略加修改,使之变成矩形波与锯齿波振荡电路,即V O1为矩形波,V O2为锯齿波、要求锯齿波得逆程(电压下降段)时间大约就是正程(电压上升段)时间得20% 左右、观测V O1、V O2得波形,记录它们得幅度、周期(频率)等参数、 3.设计电路测量滞回比较器得电压传输特性。 三、预习计算与仿真 1、预习计算 (1)正弦振荡电路

由正反馈得反馈系数为: 由此可得RC 串并联选频网络得幅频特性与相频特性分别为 易知当时,与同相,满足自激振荡得相位条件。 若此时,则可以满足,电 路起振,振荡频率为 000 111 994.7Hz 1.005ms 2216k 10nF f T RC f ππ= ====?Ω?,、 若要满足自激振荡,需要满足在起振前略大于1,而,令,即满足条件得R w应略大于10k Ω、 (2)多谐振荡电路 ?对电路得滞回部分,输出电压U O =±U Z =±6V ,U P =U O ×R 2R 2+R 1 +U O2× R 1R 2+R 1 ,当U P = U N =0V 时,可以得到U O2=±R 2R 1 ×U O =±3V 、 由U T = 1R 3C ×0.5T ×U O ?U T ,所以得到:T =4R 2R 4C R 1?=400us 、 2。 仿真分析 (1)正弦振荡电路 仿真电路图: 仿真得到得测量数据总结如下(具体见仿真报告): (1)R W 为0时,无波形产生 (2)调节R W 恰好起振时 (3)调节R W 使输出电压幅值最大

信号波形合成实验电路(C题)

信号波形合成实验电路(C 题) 摘要:该系统由方波振荡电路产生300k 方波,经三分频和十分频,同时得到10K,30K,50K 的方波。使用TI 公司的四阶开关电容低通滤波器TLC041D ,可同时产生几路正弦信号,再经移相和加法器合成方波信号或三角波,由单片机采样峰值进行液晶显示.整个系统简易实现,性价比高。 关键字:方波振荡器 开关电容滤波器TLC041D 移相器 峰值检测 液晶显示 1. 方案设计 1.1 总体方案与系统框图 题目要求从方波中提取基波和三次谐波,五次谐波,再合成方波,为实现题目要求,本系统的各个模块如图1所示。由施密特触发器构成方波振荡电路,由简单的门电路和触发器构成分频电路,使用通用运放组成滤波,放大,移相电路合成方波或三角波。 图1 1.2 理论分析及TI 芯片选用依据 任何具有周期为T 的波函数f(t)都可以表示为三角函数所构成的级数之和,如式(1-1): ) (公式1) sin cos (21 )(1 0∑∞ =++=n n n t n b t n a a t f ωω 对于方波和三角波分别可以通过傅立叶展开,如式1-2,1-3所示: )(公式2)7sin 71 5sin 513sin 31(sin 4)( ++++= t t t t h t f ωωωωπ )(公式3)7sin 7 1 5sin 513sin 31(sin 8)(2222 +-+- = t t t t h t f ωωωωπ 结合题目要求,本系统主要需要以下器件: (1) 信号源施密特触发器CD40106产生300K 方波; (2) 300K 方波分别经分频器 得到50K ,30K ,10K 方波; (3) 滤波芯片TLC041,通用运算放大器OP 系列,以及电流监测芯片))

波形发生电路实验报告

波形发生电路实验报告 班级 姓名 学号

一、实验目的 1. 掌握由集成运放构成的正弦波振荡电路的原理与设计方法。 2. 学习电压比较器的组成及电压传输特性的测试方法。 3. 掌握由集成运放构成的矩形波和三角波振荡电路的原理与设计方法。 二、实验内容 1. 正弦波发生电路 (1)实验参考电路见图1。 (2)缓慢调节电位器R W,观察电路输出波形的变化,完成以下测试: ①R W为0Ω 时的u O的波形; ②调整R W使电路刚好起振,记录u O的幅值、频率及R W的阻值; ③调整R W使输出为不失真的正弦波且幅值最大,记录u O幅值、频率及R W的阻值; ④将两个二极管断开,观察R W从小到大变化时输出波形的变化情况。 2. 方波- 三角波发生电路 (1)实验参考电路见图2。 (2)测试滞回比较电路的电压传输特性 将图2 电路的第一级改造为滞回比较电路,在输入端输入合适的测试信号,用示波器X-Y模式观测电压传输特性曲线并记录阈值电压和u O1的幅值。

(3)测量图2电路u O1、u O2波形的幅值、周期及u O1波形的上升和下降时间。 3.矩形波- 锯齿波发生电路 修改电路图2,使之成为矩形波- 锯齿波发生电路。要求锯齿波的逆程(电压下降)时间大约是正程时间的20%,记录u O1、u O2的幅值、周期。 三、实验要求 1. 实验课上搭建硬件电路,记录各项测试数据。 2. 完成正弦波电路的实验后在面包板上保留其电路,并使其输出电压U o在1-3V范围内连续可调。 四、预习计算 1.正弦波振荡电路 起振条件为|A|略大于3,刚起振时幅值较小,认为二极管还未导通,即R4+R W R2 +1略大于3,即R W略大于10kΩ时刚好起振,随着R W的增大,振幅会增大,当R W过大时波形会出现失真。 振荡频率由RC串并联选频网络决定,f0=1 2πR1C1 ≈106.1Hz 2.方波- 三角波发生电路 滞回比较器的阈值电压±U T=±R2 R1 U Z=±2.9V,测试滞回比较电路时将R2与运放A2的输出端断开,改接输入信号(三角波为宜)。 方波(u O1)的幅值为U Z=5.8V,三角波(u O2)的幅值为U T=2.9V。 U T=?1 4 (?U Z) T ?U T U T=R2 1 U Z 解得:T=4R2R4C R1 =0.4ms,即u O1和u O2的周期为0.4ms。 3.矩形波- 锯齿波发生电路 只需让电容充放电回路的时间常数不一样即可。电路原理图如下:

信号分解与合成实验

深圳大学实验报告课程名称:信号与系统 实验项目名称:信号的分解与合成实验 学院:信息工程工程学院 专业:电子信息工程 指导教师: 报告人:学号:班级: 实验时间:

实验报告提交时间: 教务处制

具体方法:基波与各高次谐波相位比较(李沙育频率测试法) 把BFP-1ω处的基波送入示波器的X 轴,再分别把BFP-31ω、BFP-51ω处的高次谐波送入Y 轴,示波器采用X-Y 方式显示,观察李沙育图。 当基波与三次谐波相位差为0o 、90o 、180o 时,波形分别如图所示。 以上是三次谐波与基波产生的典型的李沙育图,通过图形上下端及两旁的波峰个数,确定频率比。 五、 实验步骤与相应实验结果: 1、把电信号分解与合成模块插在主板上,用导线接通此模块“电源插入”和主板上的电源,并打开此模块的电源开关。 2、调节函数信号发生器,使其输出10KHz 左右的方波,占空比为50%,峰峰值为6V

左右,如图(2)所示。将其接至该实验模块的“输入端”,用示波器观察各次谐波的输出即各次谐波,分别如图(3)、图(4)、图(5)、图(6)所示。 图(2)输出方波信号 图(3)基次谐波图(4)三次谐波 图(5)五次谐波图(6)七次谐波

3、信号的分解实验提供两种方式即分立元件模拟方式和数字方式。该实验采用数字方式。数字方式采用单片机输出各次谐波分量的采样值,然后经过DA转换出各次谐波,基波幅度已经固定,只需调节其他谐波的幅度,操作比较方便。数字方式需要同时打开电源开关S1、S2。 4、用示波器的两个探头,直接观察基波和三次谐波的相位关系,或者采用李沙育图的方法,看其相位差是否为180,同时考察其幅度关系,幅度之比是否为3:1. 采用李沙育图观察基波和三次谐波的相位关系如图(7),可知道其相位为180. 图(7) 从示波器中观察基波和三次谐波的峰峰值之比,可知其幅度比为3:1,如图(8)所示

信号波形合成

2010年全国大学生电子设计与创新大赛 ——信号波形合成实验电路 (C题) 参赛学校:武汉理工大学华夏学院 院系:信息工程系 专业班级:电信 07 级 参赛队员: 赛前指导教师: 2010年8月

摘要: 基于电路设计的要求,信号波形合成器的电路主要由方波振荡电路、分频和滤波电路、移相电路、加法器电路模块等电路模块组成。本次信号波形合成器是基于傅里叶变换的原理设计的,选择了MAX038集成函数信号发生器,实现基准信号的产生,电路结构简单,效率快、精度高;采用TI公司的MSP430F149单片机的定时计数器完成分频功能,搭建有源RC移相电路实现移相功能,最后利用运算加法器完成信号的合成。该系统电路简单,目的明确,具有很好的实用性。 关键词:方波振荡电路 MSP430F149 移相电路加法器电路 Abstract: Based on the circuit design requirements, signal waveform synthesis of circuit consists mainly of pulse oscillator circuit, frequency and phase filter circuits, circuit and adder circuits module circuit signal waveform synthesis is based on Fourier transform principle of design, chose MAX038 integrated function signal generator, realize the benchmark signals, such as simple structure, high precision and efficiency, The company adopts the MSP430F149 TI single-chip function complete timing counter frequency, phase shifting active RC circuit implementation phase function, and finally the computational adder complete synthesis of signal. The simple circuit system, purpose, have very good practicability.

波形发生器设计实验报告

一、实验目的 (1)熟悉555型集成时基电路结构、工作原理及其特点。 (2)掌握555型集成时基电路的基本应用。 (3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。 二、实验基本原理 555电路的工作原理 555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。 555芯片管脚介绍 555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。

用555定时器组成的多谐振荡器如图所示。接通电源后,电容C2被充电,当电容C2上端电压Vc 升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T 导通,此时电容C2通过R1放电,Vc 下降。当Vc 下降到Vcc/3时,V0翻转为高电平。电容器C2放电所需的时间为 2ln 12??=C R t pL ( 1-1) 当放电结束时,T 截止,Vcc 将通过R1,R2,R3向电容器C2充电,Vc 由Vcc/3 上升到2Vcc/3所需的时间为 22)321(7.02ln )321(C R R R C R R R t pH ++=++= (1-2) 当Vc 上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的工作波形如图4,其中的震荡频率为 : f=1/(tpL+tpH )=1.43/(2R1+R2+R3) C2 (1-3) 三、实验设计目标 波形发生器是建立在模拟电子技术基础上的一个设计性实验,它是借助综合测试板上的555芯片和一片通用四运放324芯片,以及各种电阻、电感、电容等基本元器件,从而设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路,其借助于计算机软件multisim 仿真以及电路板硬件调

TI杯设计报告(赛区一等奖)信号波形合成实验电路

全国大学生电子设计竞赛 2010年TI杯模拟电子系统专题邀请赛设计报告 题目:信号波形合成实验电路(C题) 学校:武汉大学 指导老师: 参赛队员姓名: 日期:2010年08月24日

2010年TI杯模拟电子系统专题邀请赛试题 信号波形合成实验电路(C题) 一、课题的任务和要求 课题任务是对一个特定频率的方波进行变换产生多个不同频率的正弦信号,再将这些正弦信号合成为近似方波和近似三角波。 课题要求是首先设计制作一个特定频率的方波发生器,并在这个方波上进行必要的信号转换,分别产生10KHz、30KHz和50KHz的正弦波,然后对这三个正弦波进行频率合成,合成后的目标信号为10KHz近似方波和近似三角波。另外设计一个正弦信号幅度测量电路,以测量出产生的10KHz、30KHz和50KHz正弦波的的幅度值。 课题还给出了参考的实现方法,见下图。 图1 电路示意图 图1 课题参考实现方案 二、实现方案的分析 1.基本方波发生器方案的分析 方波的产生方法很多,如用运算放大器非线性产生、用反向器及触发器产生、也可用模数混合时基电路ICL7555产生等。本例采用第一种方案,最符合题意要求。 2.波形变换电路方案的分析 从某方波中提取特定频率的正弦波方案很多,如用窄带滤波器直接从方波中提取所需的基波或谐波;用锁相方法进行分频或倍频产生所需频率;用数字分频方案,从较高频率的方波或矩形波中通过分频获得所需频率方波并进行变换获得正弦波。本课题采用第三种方案。 3.移相方案分析 在方波——正弦波转换中,难免会产生附加相移,通过移相来抵消附加相依,以便信号合成时重新实现同步。根据微分电路实现相位超前、积分电路实现相位滞后的理论,因此,采用微伏和积分来实现移相。 4.信号合成方案分析 方波信号经过波形变换和移相后,其输出幅度将有不同程度的衰减,合成前需要将各成分的信号幅度调整到规定比例,才能合成为新的合成信号。本课题采用反向比利运算电路实

方波信号波形合成电路

毕业设计(论文) 方波信号波形合成电路 专业年级 2007电子信息工程 学号 20072321 姓名周兴平 指导教师张秀平 评阅人 2011年6月 中国常州

河海大学 本科毕业设计(论文)任务书 (理工科类) Ⅰ、毕业设计(论文)题目: 方波信号波形合成电路 Ⅱ、毕业设计(论文)工作内容(从综合运用知识、研究方案的设计、研究方法和手段的运用、应用文献资料、数据分析处理、图纸质量、技术或观点创新等方面详细说明):设计制作一个电路,能够产生多个不同频率的正弦信号,并将这些信号再合成为近似方波。电路示意图如图所示。 基本要求:1、方波振荡器的信号经分频与滤波处理,同时产生频率为10kHz和30kHz的正弦波信号,这2种信号应具有确定的相位关系; 2、产生的信号波形无明显失真,幅度峰峰值分别为6V和2V; 3、制作一个由移相器和加法器构成的信号合成电路,将产生的10kHz和30kHz 的正弦波信号作为基波和3次谐波,合成一个近似方波,波形幅度为5V。 4、再产生50KHz的正弦信号作为5次谐波,参与信号合成,使合成的波形更接近于方波; 5、设计制作一个能对各个正弦信号的幅度进行测量和数字显示的电路,测量误差不大于正负5%。 6、总结毕业设计内容,撰写毕业设计论文。

Ⅲ、进度安排: 第一阶段(10年下13周——15周):搜集相关资料,复习掌握相关的理论知识。第二阶段(16周——20周):方波产生电路设计、调试。 第三阶段(11年上1周——8周):谐波产生电路设计、调试,方波合成。 第四阶段(9周——13周):正弦波幅度测量和显示电路设计。 第五阶段(14周——):撰写毕业设计论文,答辩。 Ⅳ、主要参考资料: [1]、郑君里等《信号与系统》(上)[M].高等教育出版社,2005. [2]、康华光.《电子技术基础》(模拟部分)[M].高等教育出版社,2003. [3]、胡汉才.《单片机原理及系统设计》.清华大学出版社,2002. [4]、https://www.doczj.com/doc/1314992299.html,. 指导教师:张秀平,2010 年11 月28 日学生姓名:周兴平,专业年级:07级电子信息工程系负责人审核意见(从选题是否符合专业培养目标、是否结合科研或工程实际、综合训练程度、内容难度及工作量等方面加以审核): 系负责人签字:,2010 年12 月8 日

DAC0832波形发生器课程设计实验报告

DAC0832波形发生器课程设计实验报告 目录 第1章系统设计方案 (2) 1.1 设计思路 (2) 1.2 方案比较与选择 (2) 第2章系统硬件设计..................................................................................2. 2.1 主控制器电路 (2) 2.2 数模转换电路 (3) 第3章系统软件设计................................................................................ .6 3.1 系统整体流程...................................................................................... .6 3.2 数模转换程序...................................................................................... .6 第4章系统调试 (8) 4.1 proteus的调试 (8) 第5章结论与总结 (11) 5.1 结论 (11) (系统总体设计与完成做一个总结,是客观的,主要包括:设计思路,设计过程,测试结果及完善改进的方向。) 5.2 总结 (11) (这是一个主观的总结,谈谈自己收获和不足等方面的内容。) 第1章系统设计方案 1.1 设计思路 (一)、课设需要各个波形的基本输出。如输出矩形波、锯齿波,正弦波。这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。然而为了实现100HZ的频率,终于发现,将总时间除了总步数,根据每步执行时间,算出延时时间,最终达到要求,然后建一个表通过查表来进行输出,这样主要工作任务就落到了建表的过程中。这样做的好处在于,查表所耗费的时钟周期相同,这样输出的点与点之间的距离就相等了,输出的波形行将更趋于完美,当然更让我们感到的高兴的是它输出波形的频率将近达到了100赫兹,能够满足我们设计的扩展要求了。

相关主题
文本预览
相关文档 最新文档