当前位置:文档之家› 数字集成电路分析与设计 第五章答案

数字集成电路分析与设计 第五章答案

数字集成电路分析与设计 第五章答案
数字集成电路分析与设计 第五章答案

CHAPTER 5

P5.1. For each problem, restate each Boolean equation into a form such that it can be translated

into the p and n-complex of a CMOS gate.

a. ()()Out ABC BD ABC BD A B C B D =+=+=+++

b. ()()()Out AB AC BC AB AC BC A B A C B C =++=++=+++

c. ()()Out A B CD A AB C D A A B CD A A B CD A =+++=++=+++=++

Ab

Vdd

Vdd

Ab Bb

A

Ab

Vdd

P5.2.

A

P5.3. First, convert the equation into its p and n-complex.

()()()()()()

()()()()()

Out A B C BC AB AB C BC AB AB C BC AB AB C BC AB AB C BC AB AB C B C =⊕+=++=++=

+=

++=+++

Vdd

Cb

P5.4. The truth table is given below in terms of voltages. The function is F A B =

The worse case V OH is V DD and the worse case V OL is 0V.

P5.5. The first circuit is a NOR gate while the second is a NAND gate. The V OL and V OH

calculated are for the worst-case scenario. To find this, assume only one transistor turns on, this just reduces to a pseudo-NMOS/PMOS inverter, so the other transistors are not important.

a. The V OL for the pseudo-NMOS (in 0.18μm) is:

()

()

()

2

,1

N N OX

N

SAT OX P GSP TP

P SAT

OL W C L N DD TN GSP TP CP P

DD TN SAT P N OX v C W V V I V k V V V V E L V V v W L C μ-=

=

--+-=

()

2

DD TP N N OX V V W C μ-()()()

()()

2

0.1DD TP CP P DD TN SAT P N DD TP

DD

N N DD TP CP P DD TN V V E L V V v W L V V V W V V E L V V μ-+--=

=-+-

()

()()()()()()

()()()()()

2

2

6

4

4

0.18100.2100.210 1.80.50.14μm=1.40.11.8270 1.80.5240.2 1.80.5SAT P N DD TP

N DD N DD TP CP P DD TN v W L V V W V V V E L V V μλ

---=

-+-???-=

=-+-

Since the minimum width is 2λ, we make that the width. The V OH for the pseudo-PMOS (in 0.18μm) is:

()()

()

()(

)

(

)

22

2

1SDP

SDP CP P

N P V P OX P SGP TP SDP SAT OX N GSN TN V GSN TN CN N N SAT OX I sat I lin C W V V V v C W V V V V E L L v C μ=---=

-++

()2P OX N DD TN DD TN CN N

C W V V V V E L μ-=

-+()()()(

)

(

)()

2

2

01DD OH DD OH CP P

V V P DD TP

DD

OH V V P E L W V V V

V L ------

+

()()()()(

)()

2

0.182

462

0.184.8(70) 1.80.50.180.2(10)(810)1.80.51.80.5 1.21P P W L ---

?-=

-++

4.2P W λ≈

The pseudo-PMOS circuit will have bigger devices than the pseudo-NMOS.

P5.6. The steps to solving this question are the same as the pseudo-NMOS question in Chapter

4.

a. For V OH , recognize that GS T V V >= for operation so the output can only be as high as

DD T V V -. Since 0SB V ≠, body effect must be taken into account and the full equation

is:

(

)(

)(

)

00

1.20.40.2

OH DD T DD

T V V V V V

γ

γ=-+=-+=-+ Iteration produces V OH =0.73V.

b. For V OL , we must first recognize that the worst-case V OL occurs when only one of the pull-down transistors is on. Next we identify the regions of operation of the transistors. In this case, the pull-up transistor is always in saturation and the pull-down is most likely in the linear region since it will have a high input (high V GS ) and a low output (low V DS ). Then, we equate the two currents together and solve for V OL :

()()

()(

)

()

()(

)

()

22

122

22221111122

460.6

1(1)(270)1.20.4(0.13)(10)(810)1.20.42(1.20.42)0.61DS DS CN OL OL

V N OX GS T DS sat OX GS T V GS T CN E L

V OL OL V OL I sat I lin W C V V V W v C V V V V E L L V V V μ-=---=-++--?--=

--++ Using a programmable calculator or a spreadsheet program, V OL = 0.205V. The dc current with the output low is:

(

)

()

()()

2

2

2222260.20520.2051(1)(270)(1.610)1.20.4(0.205)

146.5DS DS CN V N OX GS T DS DS V E

L

W C V V V I L A

μμ---=

+?--=

+=

The power with the output low is:

(46.5)(1.2)55.8DS DD P I V A V W μμ===

P5.7. See Example 5.2 which is based on the NAND gate. This question is the same except that

it addresses the NOR gate.

With both inputs tied together, 88N P W W λλ==

2χ=

== ()()

1.80.520.50.77V 112

DD TP TN

S V V V V χχ

-+-+=

=

=++

In the SPICE solution, the reason why the results vary for input A and B is due to body-effect.

P5.8. The solution is shown below. Notice that there is no relevance with the lengths and

widths of the transistors when it comes to V OH , although they the do matter when calculating V OL

.

01.80.50.3 2.51V

out GG T GG out T V V V V V V γ

=-=++=++=

P5.9. For t PLH , we need to size the pull-up PMOS appropriately.

()

()

()

()15

120.70.720.70.73010010845010PLH eqp LOAD p SQ LOAD PLH

L

t RC R C W

L W R C k t λλ

--====Ω?=?

For V OL :

()()

()()()()

()()

()(

)

(

)

()()

2

2

4

6

6

60.120.13 4.210810 1.610 1.20.4 1.08mA

1.20.4240.1(270)(1.610)1.20.40.1

1138.577377232(3OL

OL CN P sat OX GS T P GS T CP V N N OX OL TN OL

N P V N N N

N N

W v C V V I sat V V E L

W C V V V W I sat L L W W W stack L μλλλ---???--==

=-+-+--?--=

=

+

+

===?=2)155(2)

W stack λ=

P5.10.

The circuit is shown below:

()()

()

()()

()

()

()315

12

315

12

0.720.70.7301075106350100.720.70.712.510751026.6275010PLH EQP LOAD P

P EQP

LOAD PLH

PHL EQN LOAD N

N EQN

LOAD PHL

L

t RC R C W L W R C t L

t RC R C W L W R C t λλ

λλλ

----====??=?====??=≈?

Because the number of transistors in series is more than one, we must multiply the widths by the appropriate number. Here, all the NMOS transistors will have a width of 54λ. The PMOS transistors will have widths of 126λ and 190λ, respectively.

P5.11. We estimate the dc power and dynamic switching power for this problem.

a. The circuit’s dc power can be computed by computing the dc current when the output is low. This is given by I DS =550uA/um x 0.1um=55uA. Then P DC =66uW when the output is low.

b. Its dynamic power can be calculated by simply using the equation 2

dyn DD P CV f α=. Therefore, P dyn =(50fF)(V DD -V TN )(V DD )(100MHz)=4.4uW.

P5.12. The pseudo-NMOS inverter has static current when the output is low. We can estimate it

as:

()()

()()()()

()()

2

2

4

6

6

0.110810 1.610 1.20.425.6A 1.20.4240.1P sat OX GS T P GS T CP W v C V V I sat V V E L

μ--???--==

=-+-+

Then the average static power is P stat =(25.6uA)(1.2)/2 =15.4uW.

The dynamic power is dyn DD swing avg P CV V f ==(50fF)(1.2)(1.1)f avg assuming that V OL is 0.1V.

For the CMOS inverter, the static power is almost zero: P stat =I sub V DD . It is far less than

the pseudo-NMOS case. The dynamic power dyn DD swing avg P CV V f ==(50fF)(1.2)2

f av

g is slightly larger than the pseudo-NMOS case.

V V

IN

CMOS Inverter

V V IN

Pseudo-NMOS

P5.13. Model development to compute αsc .

P5.14. The energy delivered by the voltage source is:

()()2

00

20

2

DD

DD

V C source

DD DD L L DD

C L DD

V C

DD

cap C L

C L C C L

dv

E i t V dt V C dt C V dv C V dt dv V E i t v dt C v dt C v dv C dt

∞∞∞

========???

???

As can be seen, only half the energy is stored in the capacitor. The other half was dissipated as heat through the resistor.

P5.15. The average dynamic power does not depend on temperature if the frequency stays the

same. However, the short-circuit current will increase as temperature increases. In addition, the subthreshold current increases as temperature increases. So the overall power dissipation will be higher. P5.16. The circuit is shown below. The delay should incorporate both Q and Qb settling in

400ps. All NMOS and PMOS devices are the same size in both NAND gates.

()

()

()()()()()

()

153312

20.70.70.70.720.71001030100.1212.5100.10.72400101μm

N P P PHL PLH UP LOAD DOWN LOAD LOAD eqp eqn P N LOAD eqp eqn LOAD eqp eqn P

L L

t t t R C R C C R R W W C R L R L W

C R L R L W t --??=+=+=+ ?

??+=++=

=

P5.17. The small glitch in J propagates through the flop even though it is small. This is due to

the fact that the JK-flop of Figure 5.20 has the 1’s catching problem. P5.18. The small glitch in J does not propagate through the flop since the edge-triggered

configuration does not have a 1’s catching problem.

P5.19. The positive-edge triggered FF is as follows:

Q

Q

D

S

(a) With CK=D=0 and S=R=1, the outputs are

(b) Now CK=0

数字电路与逻辑设计试题及答案(试卷A)

《数字集成电路基础》试题A (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共20分) 1. 数字信号的特点是在 上和 上都是断续变化的,其高电平和低电平常 用 和 来表示。 2. 常用的BCD 码有 、 、 等,常用的可靠性代码有 、 等。 3. 将十进制数45转换成8421码可得 。 4. 同步RS 触发器的特性方程为Q n+1=__________;约束方程为 。 5. 数字电路按照是否有记忆功能通常可分为两类: 、 。 6. 当数据选择器的数据输入端的个数为8时,则其地址码选择端应有 位。 7.能将模拟信号转换成数字信号的电路,称为 ;而将能把数字信号转换成模拟信号的电路称为 。 8.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。 9. 两片中规模集成电路10进制计数器串联后,最大计数容量为 位。 二、单项选择题(共 20分) 1. 对于四位二进制译码器,其相应的输出端共有 。 A . 4个 B. 16个 C. 8个 D. 10个 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为 。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3. 图2.1所示是 触发器的状态图。 A. SR B. D C. T D. T ˊ 4.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 图2.1

5.欲使D触发器按Q n+1=Q n工作,应使输入D= 。 A. 0 B. 1 C. Q D. Q 6.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7. N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 8.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 9.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 10. 555定时器构成施密特触发器时,其回差电压为。 A.VCC B. 1/2VCC C. 2/3VCC D. 1/3VCC 三、设计题 (共20分) 1、有一水箱由大、小两台水泵M L 和M S 供水,如图3.1所示,箱中设置了3 个水位检测元件A、B、C。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位 低于C点而高于B点时M S 单独工作;水位低于B点而高于A点时M L 单独工作;水位 低于A点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求 电路尽量简单。 图3.1

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

《数字集成电路基础》试题C

《数字集成电路基础》试题C (考试时间:120分钟) 班级:姓名:学号:成绩: 一、填空题(共30分) 1.三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结____,集电 结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2.把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻辑赋 值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3.四位二进制编码器有____个输入端;____个输出端。 4.将十进制数287转换成二进制数是________;十六进制数是_____ __。 5.根据触发器功能的不同,可将触发器分成四种,分别是____触发器、___ _触发器、____触发器和____触发器。 3=______。 A.发射结和集电结均处于反向偏置 B.发射结正向偏置,集电结反向偏置 C.发射结和集电结均处于正向偏置 2.在下列三个逻辑函数表达式中,____是最小项表达式。 A.B C ) A BC ,B ,A = + Y+ ( A B B ) A B ,A ( C B = B. C Y+ A

C. C AB ABC B C A C B A )D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

集成电路设计答案 王志功版

第一章 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义? MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识? 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用? 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点? P10,11 3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触? 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

数字集成电路必备考前复习总结

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路 或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦 之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys版权): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

《数字集成电路基础》试题D

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于_ _____偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个 输入端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .( )()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 1 A B 3

4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++? 四、分析设计题 (共 30分)

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

《数字集成电路》期末试卷A(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这 样的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BCD D .(101110010)8421BCD

《集成电路设计原理》试卷及答案

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5. ( 4 分 ) MOSFET 可 以 分 为 、 、 、 四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 和 ; 作为PMOS 的源极和体端, 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= V ,Y 2= V ,Y 3= V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3

二、画图题:(共12分) =+的电路图,要求使用的1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么?

集成电路设计答案-王志功版

- 第一章 1.按规模划分,集成电路的发展已经经历了哪几代它的发展遵循了一条业界著名的定律,请说出是什么定律 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么对发展集成电路设计有什么意义MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识 [ 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点P10,11 3.怎样的条件下金属与半导体形成欧姆接触怎样的条件下金属与半导体形成肖特基接触 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 ` 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点 SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 ! 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29

第1章 数字电路和集成逻辑门电路习题解答

思考题与习题 1-1 填空题 1)三极管截止的条件是U BE ≤0V。三极管饱和导通的条件是I B≥ I BS。三极管饱和导通的I BS是I BS≥(V CC-U CES)/βRc。 2)门电路输出为高电平时的负载为拉电流负载,输出为低 电平时的负载为灌电流负载。 3)晶体三极管作为电子开关时,其工作状态必须为饱和状态或截止 状态。 4) 74LSTTL电路的电源电压值和输出电压的高、低电平值依次约为 5V、2.7V、 0.5V 。74TTL电路的电源电压值和输出电压的高、低电平值依次约为 5V、2.4V、 0.4V 。 5)OC门称为集电极开路门门,多个OC门输出端并联到一起可实现线与功能。 6) CMOS 门电路的输入电流始终为零。 7) CMOS 门电路的闲置输入端不能悬空,对于与门应当接到高电平,对于 或门应当接到低电平。 1-2 选择题 1)以下电路中常用于总线应用的有 abc 。 A.TSL门 B.OC门 C.漏极开路门 D.CMOS与非门 2)TTL与非门带同类门的个数为N,其低电平输入电流为1.5mA,高电平输入电流为10uA,最大灌电流为15mA,最大拉电流为400uA,选择正确答案N最大为 B 。 A.N=5 B.N=10 C.N=20 D.N=40 3)CMOS数字集成电路与TTL数字集成电路相比突出的优点是 ACD 。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 4)三极管作为开关使用时,要提高开关速度,可 D 。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 5)对于TTL与非门闲置输入端的处理,可以 ABD 。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端并联 6)以下电路中可以实现“线与”功能的有 CD 。 A.与非门 B.三态输出门

试题标准答案模版A4-数字集成电路设计A答案[1]

共 页 第 页 说明:1。标准答案务必要正确无误。 - ---------------------------上------------------------------装-----------------------------------------订----------------------------线--------------------------------- 西安邮电学院试题卷标准答案专用纸 - ------------------------密--------------------------------封-----------------------------装----------------------------------订------------------------------线------------------------------------

2.将每道大题得分和总分填入得分栏中

共 页 第 页 ----------------------------上------------------------------装-----------------------------------------订----------------------------线---------------------------------------- 西安邮电学院试题卷标准答案专用纸 -------------------------密--------------------------------封-----------------------------装----------------------------------订------------------------------线-----------------------------------------

数字集成电路基础试题E

《数字集成电路基础》试题E (考试时间:120分钟) 班级:姓名:学号:成绩: 一、填空题(共30分) 1.PN结具有单向导电性。正向偏置时,多子以 __________________运动为主, 形成正向电流;反向偏置时,少子____________________运动,形成反向饱电流。 2.双极型晶体三极管输出特性曲线的三个工作区是放大区、_____、___ __。 3.已知三态与非门输出表达式C AB F? =,则该三态门当控制信号C为___电平时,输出为高阻态。 4.十进制数211转换成二进制数是______;十六进制数是_______。 5.将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的__ __。 6.若用触发器组成某十一进制加法计数器,需要____个触发器,有__个无 效状态。 7.同步RS触发器的特性方程为Q n+1=____________;约束方程为_______ __。8.下图所示电路中,Y1=__________;Y2=___________ _;Y3=____________。 二、选择题(共 18分) 1. 下列函数中,是最小项表达式形式的是_________。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y+ ? = D. BC A C B A Y+ ? = 2.要实现n 1 n Q Q= +,JK触发器的J、K取值应为_____。 A. J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等___。 A. [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是___________ A.触发器 B. 全加器 C. 移位寄存器 D. 计数器 B 2 B V CC Y1

数字集成电路--电路、系统与设计(第二版)课后练习题-第四章 导线-Chapter 4 The Wire

1Chapter 4 Problem Set Chapter 4 Problems 1.[M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock net- work (between the nodes) is 5 mm long, 3 μm wide, and is implemented in polysilicon. At each of the terminal nodes (such as R ) resides a load capacitance of 100 fF. a.Determine the average current of the clock driver, given a voltage swing on the clock lines of 5 V and a maximum delay of 5 nsec between clock source and destination node R . For this part, you may ignore the resistance and inductance of the network b.Unfortunately the resistance of the polysilicon cannot be ignored. Assume that each straight segment of the network can be modeled as a Π-network. Draw the equivalent cir- cuit and annotate the values of resistors and capacitors. c.Determine the dominant time-constant of the clock response at node R . 2. [C, SPICE, 4.x] You are designing a clock distribution network in which it is critical to mini-mize skew between local clocks (CLK 1, CLK 2, and CLK 3). You have extracted the RC net-work of F igure 0.2, which models the routing parasitics of your clock line. Initially, you notice that the path to CLK 3 is shorter than to CLK 1 or CLK 2. In order to compensate for this imbalance, you insert a transmission gate in the path of CLK 3 to eliminate the skew.a.Write expressions for the time-constants associated with nodes CLK 1,CLK 2 and CLK 3.Assume the transmission gate can be modeled as a resistance R 3.b.If R 1 = R 2 = R 4 = R 5 = R and C 1 = C 2 = C 3 = C 4 = C 5 = C , what value of R 3 is required to balance the delays to CLK 1, CLK 2, and CLK 3?c.For R =750Ω and C =200fF, what (W /L )’s are required in the transmission gate to elimi-nate skew? Determine the value of the propagation delay.d.Simulate the network using SPICE, and compare the obtained results with the manually obtained numbers.3.[M, None, 4.x]Consider a CMOS inverter followed by a wire of length L . Assume that in the reference design, inverter and wire contribute equally to the total propagation delay t pref . You may assume that the transistors are velocity-saturated. The wire is scaled in line with the ideal wire scaling model . Assume initially that the wire is a local wire . a.Determine the new (total) propagation delay as a a function of t p ref , assuming that technol- ogy and supply voltage scale with a factor 2. Consider only first-order effects. b.Perform the same analysis, assuming now that the wire scales a global wire , and the wire length scales inversely proportional to the technology. Figure 0.1Clock-distribution network. S R

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

相关主题
文本预览
相关文档 最新文档