当前位置:文档之家› 5大规模数字集成电路习题解答

5大规模数字集成电路习题解答

5大规模数字集成电路习题解答
5大规模数字集成电路习题解答

自我检测题

1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量

解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。

2.试述RAM和ROM的区别。

解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。

ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入,

3.试述SRAM和DRAM的区别。

解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。

4.与SRAM相比,闪烁存储器有何主要优点

解:容量大,掉电后数据不会丢失。

5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少

解:8根地址线,8根数据线。其容量为256×8。

6.简答以下问题:

(1)CPLD和FPGA有什么不同

FPGA可以达到比CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而积项丰富的结构。

在编程上FPGA比CPLD具有更大的灵活性;CPLD功耗要比FPGA大;且集成度越高越明显;CPLD比FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片,且使用方法复杂,保密性差。

(2)写出三家CPLD/FPGA生产商名字。

Altera,lattice,xilinx,actel

7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。

8.一个ROM 共有10根地址线,8根位线(数据输出线),则其存储容量为。

A.10×8 B.102×8 C.10×82D.210×8

9.为了构成4096×8的RAM,需要片1024×2的RAM。

A.8片B.16片C.2片D.4片

10.哪种器件中存储的信息在掉电以后即丢失

A.SRAM B.UVEPROM C.E2PROM D.PAL

11.关于半导体存储器的描述,下列哪种说法是错误的。

A.RAM读写方便,但一旦掉电,所存储的内容就会全部丢失

B.ROM掉电以后数据不会丢失

C.RAM可分为静态RAM和动态RAM

D.动态RAM不必定时刷新

12.有一存储系统,容量为256K×32。设存储器的起始地址全为0,则最高地址的十六进制地址码为3FFFFH 。

13.PAL是一种的可编程逻辑器件。

A.与阵列可编程、或阵列固定的B.与阵列固定、或阵列可编程的

C.与、或阵列固定的D.与、或阵列都可编程的

习题

1.现有如图所示的4×4位RAM若干片,现要把它们扩展成8×8位RAM。

(1)试问需要几片4×4位RAM

(2)画出扩展后电路图(可用少量门电路)。

解:(1)用4×4位RAM扩展成8×8位RAM时,需进行字数和位数扩展,故需要4片4×4的RAM

(2)扩展后电路如图:

D D 6D D 4A 0A 1D D 2D D 0

A 2

2.在微机中,CPU 要对存储器进行读写操作,首先要由地址总线给出地址信息,然后发出相应读或写的控制信号,最后才能在数据总线上进行信息交流。现有256×4位的RAM 二片,组成一个页面,现需4个页面的存储容量,画出用256×4位组成1K ×8位的RAM 框图,并指出各个页面的地址分配。

解:电路连接图如图所示。从左到右四个页面的地址为: 000H~0FFH ,100H~1FFH ,200H~2FFH ,300H~3FFH 。

D 7D 6D 5D 4D 3D 2D 1

D 0

A 8A 9

A 0~A 3.试用4×2位容量的ROM 实现半加器的逻辑功能,并直接在图中画出用ROM 点阵图实现的半加法器电路。

A

B

S i C i

A

B

i S i

C

A

B

i S i

C

解:由于半加器的输出B A B A S i +=

AB C i = 所以ROM 点阵图如图所示。

4.用EPROM 实现二进制码与格雷码的相互转换电路,待转换的代码由I 3I 2I 1I 0输入,转换后的代码由O 3O 2O 1O 0输出。X 为转换方向控制位,当X =0时,实现二进制码到格雷码的转换;当X =1时,实现格雷码到二进制码的转换。试求:

(1)列出EPROM 的地址与内容对应关系真值表;

(2)确定输入变量和输出变量与ROM 地址线和数据线对应关系。 解:真值表为:

输入变量和输出变量与ROM 地址线和数据线对应关系如图所示:

32×4 RAM D 3D 2D 1D 0

A 0

A 1A 3A 2X A 4I 3I 2I 1I 0

O 3O 2O 1O 0

5.试分析如图所示PLA 构成电路。写出F 1、F 2的逻辑表达式。

1

2

解:C

A

F+

+

C

=

AB

A

B

C

1

A

=

F+

BC

C

B

A

2

6.试分析如图所示电路。

(1)列出时序PLA的状态表和状态图

(2)简述该时序PLA的逻辑功能。

解:(1)根据电路图写出各触发器驱动方程 n n Q Q J 120+=,10=K

n Q J 01=,n n Q Q K 021+= n n Q Q J 012=,n Q K 12=

(2)写出各触发器状态方程

n n n n n n n Q Q Q Q Q K Q J Q 010200000+=+= n n n n n n n n Q Q Q Q Q Q K Q J Q 0120111111+=+=

n n n n n n n n Q Q Q Q Q Q K Q J Q 1201222222+=+=

(3)列出状态表

(4)状态转换图

(5)功能:同步七进制加法计数器。

7.试分析如图所示由PLA 实现的时序电路,列出状态转换表,简述该时序电路的逻辑功能。

Q 0

Q 1

Q 2

X

解 (1)根据电路图写出各触发器状态方程:

n n n n n Q Q X Q Q Q 020112+=+

n n n n n n Q Q Q Q Q Q 0120111+=+

n n n n Q X Q Q Q 00210+=+

(2)根据特性方程列出状态真值表,如表所示。

(3)状态转换图

由状态真值表可得电路在X = 0与X = 1时的状态转换图,如图所示。

12Q Q Q X =0

X =1

(4)逻辑功能

当X =0时,该时序电路为6进制加法计数器;当X =1时,该时序电路为5进制加法计数器。

8.观察如图的可编程I/O 模块,要求把此I/O 模块配置成输入管脚,请标出数据输入通道,给出具体的5个配置比特,并给出T 的值。

I/O 引脚

输出信号

输入信号

时钟

使能使能全局请零

时钟三态控制输出反相

三态反相

锁存输出弱上拉

转换

速率

解:要将I/O 引脚作为输入引脚,要将输出三态缓冲器输出置成高阻态,弱上拉禁止。因此可将三态控制T 置1,三态反相置0,弱上拉置0,其余编程位无关。

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字集成电路复习笔记

数集复习笔记 By 潇然名词解释专项 摩尔定律:一个芯片上的晶体管数目大约每十八个月增长一倍。 传播延时:一个门的传播延时t p定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 由于一个门对上升和下降输入波形的响应时间不同,所以需定义两个传播延时。 t pLH定义为这个门的输出由低至高翻转的响应时间,而t pHL则为输出由高至低翻转 的响应时间。传播延时t p定义为这两个时间的平均值:t p=(t pLH+t pHL)/2。 设计规则:设计规则是指导版图掩膜设计的对几何尺寸的一组规定。它们包括图形允许的最小宽度以及在同一层和不同层上图形之间最小间距的限制与要求。定义设计规则 的目的是为了能够很容易地把一个电路概念转换成硅上的几何图形。设计规则的 作用就是电路设计者和工艺工程师之间的接口,或者说是他们之间的协议。 速度饱和效应:对于长沟MOS管,载流子满足公式:υ= -μξ(x)。公式表明载流子的速度正比于电场,且这一关系与电场强度值的大小无关。换言之,载流子的迁移率 是一个常数。然而在(水平方向)电场强度很高的情况下,载流子不再符合 这一线性模型。当沿沟道的电场达到某一临界值ξc时,载流子的速度将由于 散射效应(即载流子间的碰撞)而趋于饱和。 时钟抖动:在芯片的某一个给定点上时钟周期发生暂时的变化,即时钟周期在每个不同的周期上可以缩短或加长。 逻辑综合:逻辑综合的任务是产生一个逻辑级模型的结构描述。这一模型可以用许多不同的方式来说明,如状态转移图、状态图、电路图、布尔表达式、真值表或HDL描 述。 噪声容限:为了使一个门的稳定性较好并且对噪声干扰不敏感,应当使“0”和“1”的区间越大越好。一个门对噪声的灵敏度是由低电平噪声容限NM L和高电平噪声容限 NM H来度量的,它们分别量化了合法的“0”和“1”的范围,并确定了噪声的 最大固定阈值: NM L =V IL - V OL NM H =V OH - V IH

#《数字集成电路设计》复习提纲

《数字集成电路设计》复习提纲(1-7章) 2011-12 1. 数字集成电路的成本包括哪几部分? ● NRE (non-recurrent engineering) costs 固定成本 ● design time and effort, mask generation ● one-time cost factor ● Recurrent costs 重复性费用或可变成本 ● silicon processing, packaging, test ● proportional to volume ● proportional to chip area 2. 数字门的传播延时是如何定义的? 一个门的传播延时tp 定义了它对输入端信号变化的响应有多快。 3. 集成电路的设计规则(design rule)有什么作用? ? Interface between designer and process engineer ? Guidelines for constructing process masks ? Unit dimension: Minimum line width ? scalable design rules: lambda parameter (可伸缩设计规则,其不足:只能在有限 的尺寸范围内进行。) ? absolute dimensions (micron rules,用绝对尺寸来表示。) 4. 什么是MOS 晶体管的体效应? 5. 写出一个NMOS 晶体管处于截止区、线性区、饱和区的判断条件,以及各工作区的源漏电流表达式(考虑短沟效应即沟道长度调制效应,不考虑速度饱和效应) 注:NMOS 晶体管的栅、源、漏、衬底分别用G 、S 、D 、B 表示。 6. MOS 晶体管的本征电容有哪些来源? 7. 对于一个CMOS 反相器的电压传输特性,请标出A 、B 、C 三点处NMOS 管和PMOS 管各自处于什么工作区? V DD 8. 在CMOS 反相器中,NMOS 管的平均导通电阻为R eqn ,PMOS 管的平均导通电阻为R eqp ,请写出该反相器的总传播延时定义。 9. 减小一个数字门的延迟的方法有哪些?列出三种,并解释可能存在的弊端。 ? Keep capacitances small (减小CL ) ? Increase transistor sizes(增加W/L) ? watch out for self-loading! (会增加CL ) ? Increase VDD (????) V out V in 0.5 11.522.5

数字集成电路--电路、系统与设计(第二版)复习资料

第一章 数字集成电路介绍 第一个晶体管,Bell 实验室,1947 第一个集成电路,Jack Kilby ,德州仪器,1958 摩尔定律:1965年,Gordon Moore 预言单个芯片上晶体管的数目每18到24个月翻一番。(随时间呈指数增长) 抽象层次:器件、电路、门、功能模块和系统 抽象即在每一个设计层次上,一个复杂模块的内部细节可以被抽象化并用一个黑匣子或模型来代替。这一模型含有用来在下一层次上处理这一模块所需要的所有信息。 固定成本(非重复性费用)与销售量无关;设计所花费的时间和人工;受设计复杂性、设计技术难度以及设计人员产出率的影响;对于小批量产品,起主导作用。 可变成本 (重复性费用)与产品的产量成正比;直接用于制造产品的费用;包括产品所用部件的成本、组装费用以及测试费用。每个集成电路的成本=每个集成电路的可变成本+固定成本/产量。可变成本=(芯片成本+芯片测试成本+封装成本)/最终测试的成品率。 一个门对噪声的灵敏度是由噪声容限NM L (低电平噪声容限)和NM H (高电平噪声容限)来度量的。为使一个数字电路能工作,噪声容限应当大于零,并且越大越好。NM H = V OH - V IH NM L = V IL - V OL 再生性保证一个受干扰的信号在通过若干逻辑级后逐渐收敛回到额定电平中的一个。 一个门的VTC 应当具有一个增益绝对值大于1的过渡区(即不确定区),该过渡区以两个有效的区域为界,合法区域的增益应当小于1。 理想数字门 特性:在过渡区有无限大的增益;门的阈值位于逻辑摆幅的中点;高电平和低电平噪声容限均等于这一摆幅的一半;输入和输出阻抗分别为无穷大和零。 传播延时、上升和下降时间的定义 传播延时tp 定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 上升和下降时间定义为在波形的10%和90%之间。 对于给定的工艺和门的拓扑结构,功耗和延时的乘积一般为一常数。功耗-延时积(PDP)----门的每次开关事件所消耗的能量。 一个理想的门应当快速且几乎不消耗能量,所以最后的质量评价为。能量-延时积(EDP) = 功耗-延时积2 。 第三章、第四章CMOS 器件 手工分析模型 ()0 12' 2 min min ≥???? ??=GT DS GT D V V V V V L W K I 若+-λ ()DSAT DS GT V V V V ,,m in min = 寄生简化:当导线很短,导线的截面很大时或当 所采用的互连材料电阻率很低时,电感的影响可 以忽略:如果导线的电阻很大(例如截面很小的长 铝导线的情形);外加信号的上升和下降时间很慢。 当导线很短,导线的截面很大时或当所采用的互 连材料电阻率很低时,采用只含电容的模型。 当相邻导线间的间距很大时或当导线只在一段很短的距离上靠近在一起时:导线相互间的电容可 以被忽略,并且所有的寄生电容都可以模拟成接 地电容。 平行板电容:导线的宽度明显大于绝缘材料的厚 度。 边缘场电容:这一模型把导线电容分成两部分: 一个平板电容以及一个边缘电容,后者模拟成一 条圆柱形导线,其直径等于该导线的厚度。 多层互连结构:每条导线并不只是与接地的衬底 耦合(接地电容),而且也与处在同一层及处在相邻层上的邻近导线耦合(连线间电容)。总之,再多层互连结构中导线间的电容已成为主要因素。这一效应对于在较高互连层中的导线尤为显著,因为这些导线离衬底更远。 例4.5与4.8表格 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 例4.1 金属导线电容 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线,计算总的电容值。 平面(平行板)电容: ( 0.1×106 μm2 )×30aF/μm2 = 3pF 边缘电容: 2×( 0.1×106 μm )×40aF/μm = 8pF 总电容: 11pF 现假设第二条导线布置在第一条旁边,它们之间只相隔最小允许的距离,计算其耦合电 容。 耦合电容: C inter = ( 0.1×106 μm )×95 aF/μm2 = 9.5pF 材料选择:对于长互连线,铝是优先考虑的材料;多晶应当只用于局部互连;避免采用扩散导线;先进的工艺也提供硅化的多晶和扩散层 接触电阻:布线层之间的转接将给导线带来额外的电阻。 布线策略:尽可能地使信号线保持在同一层上并避免过多的接触或通孔;使接触孔较大可以降低接触电阻(电流集聚在实际中将限制接触孔的最大尺寸)。 采电流集聚限制R C , (最小尺寸):金属或多晶至n+、p+以及金属至多晶为 5 ~ 20 Ω ;通孔(金属至金属接触)为1 ~ 5 Ω 。 例4.2 金属线的电阻 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线。假设铝层的薄层电阻为0.075Ω/□,计算导线的总电阻: R wire =0.075Ω/□?(0.1?106 μm)/(1μm)=7.5k Ω 例4.5 导线的集总电容模型 假设电源内阻为10k Ω的一个驱动器,用来驱动一条10cm 长,1μm 宽的Al1导线。 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 使用集总电容模型,源电阻R Driver =10 k Ω,总的集总电容C lumped =11 pF t 50% = 0.69 ? 10 k Ω ? 11pF = 76 ns t 90% = 2.2 ? 10 k Ω ? 11pF = 242 ns 例4.6 树结构网络的RC 延时 节点i 的Elmore 延时: τDi = R 1C 1 + R 1C 2 + (R 1+R 3) C 3 + (R 1+R 3) C 4 + (R 1+R 3+R i ) C i 例4.7 电阻-电容导线的时间常数 总长为L 的导线被分隔成完全相同的N 段,每段的长度为L/N 。因此每段的电阻和电容分别为rL/N 和cL/N R (= rL) 和C (= cL) 是这条导线总的集总电阻和电容()()()N N RC N N N rcL Nrc rc rc N L DN 2121 (22) 22 +=+=+++?? ? ??=τ 结论:当N 值很大时,该模型趋于分布式rc 线;一条导线的延时是它长度L 的二次函数;分布rc 线的延时是按集总RC 模型预测的延时的一半. 2 rcL 22=RC DN = τ 例4.8 铝线的RC 延时.考虑长10cm 宽、1μm 的Al1导线,使用分布RC 模型,c = 110 aF/μm 和r = 0.075 Ω/μm t p = 0.38?RC = 0.38 ? (0.075 Ω/μm) ? (110 aF/μm) ? (105 μm)2 = 31.4 ns Poly :t p = 0.38 ? (150 Ω/μm) ? (88+2?54 aF/μm) ? (105 μm)2 = 112 μs Al5: t p = 0.38 ? (0.0375 Ω/μm) ? (5.2+2?12 aF/μm) ? (105 μm)2 = 4.2 ns 例4.9 RC 与集总C 假设驱动门被模拟成一个电压源,它具有一定大小的电源内阻R s 。 应用Elmore 公式,总传播延时: τD = R s C w + (R w C w )/2 = R s C w + 0.5r w c w L 2 及 t p = 0.69 R s C w + 0.38 R w C w 其中,R w = r w L ,C w = c w L 假设一个电源内阻为1k Ω的驱动器驱动一条1μm 宽的Al1导线,此时L crit 为2.67cm 第五章CMOS 反相器 静态CMOS 的重要特性:电压摆幅等于电源电压 → 高噪声容限。逻辑电平与器件的相对尺寸无关 → 晶体管可以采用最小尺寸 → 无比逻辑。稳态时在输出和V dd 或GND 之间总存在一条具有有限电阻的通路 → 低输出阻抗 (k Ω) 。输入阻抗较高 (MOS 管的栅实际上是一个完全的绝缘体) → 稳态输入电流几乎为0。在稳态工作情况下电源线和地线之间没有直接的通路(即此时输入和输出保持不变) → 没有静态功率。传播延时是晶体管负载电容和电阻的函数。 门的响应时间是由通过电阻R p 充电电容C L (电阻R n 放电电容C L )所需要的时间决定的 。 开关阈值V M 定义为V in = V out 的点(在此区域由于V DS = V GS ,PMOS 和NMOS 总是饱和的) r 是什么:开关阈值取决于比值r ,它是PMOS 和NMOS 管相对驱动强度的比 DSATn n DSATp p DD M V k V k V V = ,r r 1r +≈ 一般希望V M = V DD /2 (可以使高低噪声容限具有相近的值),为此要求 r ≈ 1 例5.1 CMOS 反相器的开关阈值 通用0.25μm CMOS 工艺实现的一个CMOS 反相器的开关阈值处于电源电压的中点处。 所用工艺参数见表3.2。假设V DD = 2.5V ,最小尺寸器件的宽长比(W/L)n 为1.5 ()()()()()()()() V V L W V V V V k V V V V k L W L W M p DSATp Tp M DSATp p DSATn Tn M DSATn n n p 25.125.55.15.35.320.14.025.1263.043.025.10.163.01030101152266==?==----?-???----=---= 分析: V M 对于器件比值的变化相对来说是不敏感 的。将比值设为3、2.5和2,产生的V M 分别为 1.22V 、1.18V 和 1.13V ,因此使PMOS 管的宽度小于完全对称所要求的值是可以接受的。 增加PMOS 或NMOS 宽度使V M 移向V DD 或GND 。不对称的传输特性实际上在某些设计中是所希望的。 噪声容限:根据定义,V IH 和V IL 是dV out /dV in = -1(= 增益)时反相器的工作点 逐段线性近似V IH = V M - V M /g V IL = V M + (V DD - V M )/g 过渡区可以近似为一段直线,其增益等于 在开关阈值V M 处的增益g 。它与V OH 及V OL 线的交点 用来定义V IH 和V IL 。点。

数字集成电路设计实验--反相器

数字集成电路设计实验 ——反相器电路实验 系部名称:电子工程学院 班级:微电子07 学生姓名: 班内序号: 学号:

一、S-EDIT原理图绘制: 二、T-SPICE: 2.1程序: * SPICE netlist written by S-Edit Win32 7.03 * Written on Nov 19, 2010 at 12:11:51 * Waveform probing commands .probe .options probefilename="not_gate.dat" + probesdbfile="D:\Tanner\tanner\TSpice70\fei\not_gate.sdb" + probetopmodule="Module0" * Main circuit: Module0 M1 output in Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 output in Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v3 Vdd Gnd 5.0 v4 in Gnd pulse(0.0 5.0 0 2n 2n 100n 200n)

.include "D:\Tanner\tanner\TSpice70\models\ml2_125.md" .tran/op 5n 600n method=bdf .print tran v(in) v(output) End of main circuit: Module0 2.2仿真报告: 三、T-SPICE仿真结果 3.1瞬态分析:

《数字集成电路》期末试卷(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BC D D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

2010年数字集成电路设计期中考试_中国科技大学

中国科学技术大学苏州研究院软件学院 数字集成电路设计 期中考试 (2010年10月11日2:00pm—3:30pm) 1.问答题 a)叙述摩尔定律(5分)。 b)叙述评价数字集成电路设计质量的四个基本特性(6分)。 c)叙述长沟MOS晶体管与短沟MOS晶体管的区别(6分)。 d)MOS管的电容由哪几部分构成?并说出在不同工作模式下的区别(8分)。 e)以反相器为例,说出静态CMOS电路的功耗包括哪几部分(6分)? f)数字集成电路按比例缩小有几种情形(6分)? g)下面的两种电路哪个性能(速度)更优越一些?并说出原因(5分)。 h)下面的电路哪个是无比逻辑,哪个是有比逻辑?并说出有比逻辑与无比 逻辑的区别(5分)。 2.下图为一RC网络。计算: a)从输入In到Out1的Elmore延时(5分);b)从输入In到Out2的Elmore延时(5分);c)确定哪条路径是关键路径(3分)?

3.假设下图中反相器由标准CMOS实现,并且具有对称的电压传输特性。假设 C intrinsic = C gate (γ=1),单位尺寸反相器的等效电阻与电容为R和C。单位尺 寸反相器的本征延时为t inv。反相器inv2, inv3和inv4的尺寸S1,S2和S3不小于1。 a)确定S1,S2和S3使时延最小(5分),并计算总的最小时延(以t inv为单位) (5分)。 b)确定反相器inv2, inv3和inv4的尺寸S1,S2和S3使功耗达到最小(4分)。4.如下图所示的逻辑网络,要求确定复合门电容y和z使A端到B端延时最小。 a)计算A端到B端总的逻辑努力LE(3分);b)计算A端到B端总的电气努力F (2分);c)计算A端到B端总的分支努力B (3分);d)计算A端到B端总的路径努力PE (2分);e)确定最佳级努力SE (3分)(近似为整数);f)确定A端到B端的最小时延(以t inv为单位)(3分);g)确定电容y (5分);h)确定电容z (5分)。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

5大规模数字集成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”什么是“字长”,如何表示存储器的容量 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线多少根数据线其存储容量为多少 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同 FPGA可以达到比 CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA 更适合于触发器丰富的结构,而 CPLD更适合于触发器有限而积项丰富的结构。 在编程上 FPGA比 CPLD具有更大的灵活性;CPLD功耗要比 FPGA大;且集成度越高越明显;CPLD比 FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用 E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于 SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片 ,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表

2011年数字集成电路设计期末考试试卷_中国科技大学

Digital Integrated Circuits Final Exam, Fall 2011 School of Software Engineering University of Science and Technology of China (19:00pm–21:00 pm November24th, 2011) Name:Student ID:Score: 1. Which of the following two circuits is better in terms of speed? Why?(5 points) 2. Describe at least two methods to reduce power dissipation of digital integrated circuits. (5 points) 3. What are the advantage and disadvantage of using the transistor M r in the figure below? (4 points) 4.Reconstruct the following circuit logically to avoid glitches.Describe at least one other method to avoid glitches. (5 points) 5.Sketch a transistor-level circuit for a 6-Transistor SRAM. Describe how to size transistors to ensure writing reliability and reading stability.What is the purpose of having PMOS transistors? (10 points)

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

《数字集成电路》期末考试卷A(含问题详解)

工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(0)8421BCD D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

数字集成电路考题(2012)

集成电路考题 一、填空题 1、世界上第一个自动计算器是1832年。 2、Jack Kilby 提出IC 设想--集成电路,由此获得诺贝尔奖,标志着数字时代的来临。 3、集成电路的发展按摩尔定律发展变化。 4、数字电路噪声进入的途径有电感耦合、电容耦合、电源和地的干扰。 5、N 型半导体的多子是自由电子,少子是空穴。 6、P 型半导体的多子是空穴,少子是自由电子。 7、二极管电流D I 与电压D V 的关系表达式为)1(/-=ΦT D V S D e I I 。 8、二极管的反向击穿类型有齐纳击穿和雪崩击穿。 9、互连线电容模型可用平行板电容模型等效,导线总电容的公式为WL t C di di ε=int 。 10、互连线电容模型可用微带线模型等效,由平面电容和边缘电容构成。 11、导体为均匀的绝缘介质包围,可知一条导线的电容C 与电感L 的关系为u CL ε=。 12、CMOS 反相器噪声容限的定义有L NM 低电平噪声容限和H NM 高电平噪声容限。 13、CMOS 反相器电路总功耗分为三部分,分别为 dyn P 由充放电电容引起的动态功耗、dp P 直流通路电容引起的功耗、stat P 静态功耗。 14、静态CMOS 门由上拉网络PUN 和下拉网络PDN 构成。 15、CMOS 互补逻辑实现一个N 输入逻辑门所需MOS 管的个数为2N 个。 16、伪NMOS 逻辑实现一个N 输入逻辑门所需MOS 管的个数为N+1个。 17、动态逻辑实现一个N 输入逻辑门所需MOS 管的个数为N+2个。 18、动态逻辑电路工作过程分为预充电和求值两个阶段。 19、时序电路中与寄存器有关的参数分别为建立时间、维持时间、传播时间。 20、对于时钟偏差不敏感的触发器为Clocked CMOS(或为时钟控制CMOS)。 21、2 C CMOS 实现一个N 输入逻辑门所需MOS 管的个数为N+2个。 22、施密特触发器两个开关阈值分别为:-M V 和+M V 。 23、半定制的电路设计方法分别是以单元为基础的设计方法和以阵列为基础的设计方法。

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

相关主题
文本预览
相关文档 最新文档