当前位置:文档之家› 计算机组成原理(简答题)

计算机组成原理(简答题)

计算机组成原理(简答题)
计算机组成原理(简答题)

计算机组成原理(简单题)

第一章概论

1、计算机的应用领域:科学计算、数据处理、实时控制、辅助设计、通信和娱乐。

2、计算机的基本功能:存储和处理外部信息,并将处理结果向外界输出。

3、数字计算机的硬件由:运算器、控制器、存储器、输入单元和输出单元。

4、软件可以分成系统软件和应用软件。其中系统软件包括:操作系统、诊断程序、编译程序、解释程序、汇编程序和网络通信程序。

5、计算机系统按层次进行划分,可以分成,硬件系统、系统软件和应用软件三部分。

6、计算机程序设计语言可以分成:高级语言、汇编语言和机器语言。

第二章数据编码和数据运算

1、什么是定点数?它有哪些类型?

答:定点数是指小数点位置固定的数据。定点数的类型有定点整数和定点小数。

2、什么是规格化的浮点数?为什么要对浮点数进行规格化?

答:规格化的浮点数是指规定尾数部分用纯小数来表示,而且尾数的绝对值应大于或等于1/R并小于等于1。

在科学计数法中,一个浮点数在计算机中的编码不唯一,这样就给编码带来了很大的麻烦,所有在计算机中要对浮点数进行规格化。

3、什么是逻辑运算?它有哪些类型?

答:逻辑运算时指把数据作为一组位串进行按位的运算方式。基本的逻辑运算有逻辑或运算、逻辑与运算和逻辑非运算。

4、计算机中是如何利用加法器电路进行减法运算的?

答:在计算机中可以通过将控制信号M设置为1,利用加法器电路来进行减法运算。

第三章存储系统

1、计算机的存储器可以分为哪些类型?

答:计算机的存储器分成随机存储器和只读存储器。

2、宽字存储器有什么特点?

答:宽字存储器是将存储器的位数扩展到多个字的宽度,访问存储器时可以同时对对个字进行访问,从而提高数据访问的吞吐量。

3、多体交叉存储器有什么特点?

答:多体交叉存储器是由对个相互独立的存储体构成。每个存储器是一个独立操作的单位,有自己的操作控制电路和存放地址的寄存器,可以分别进行数据读写操作,各个存储体的读写过程重叠进行。

4、什么是相联存储器?它有什么特点?

答:相联存储器是一种按内容访问的存储器。在相联存储器中,每个存储的信息单元都是固定长度的字。相联存储器的特点是整个存储器阵列同时进行数据的匹配操作。

5、简述CPU通过高速缓存Cache对主存的数据存取过程。

答:CPU第一次访问主存时,由于Cache中没有数据或者程序代码,所有,CPU直接去访问存储器,从主存中读取所需要的数据或者程序代码,并同时写入Cache中,形成主存数据的一个拷贝。在以后的访问中,CPU首先访问Cache,如果数据在Cache中,称为Cache 命中,读取数据。如果数据不在Cache中,称为Cache失效,这时,CPU读主存中的数据,并将数据写入Cache中。

6、什么是Cache的地址映象?有哪些地址映象?

答:Cache的地址映象是指根据主存的地址来构成Cache的地址。地址映象的方式有直接映

象方式、全相联映象方式和组相联映象方式。

7、什么是Cache的替换策略?有哪些策略?

答:Cache的替换策略是指CPU在访问主存后将数据写入Cache时,Cache满了采用的替换数据的策略。Cache的替换策略有随机法、先进先出法和近期最少使用法。

8、高速缓存Cache用来存放什么内容?设置它的主要目的是什么?

答:高速缓存Cache中存放的是CPU访问主存时频繁使用的数据和指令。设置Cache的主要目的是用来提高CPU访问主存的平均访问速度。

9、虚拟存储器管理的方式:页式管理、段式管理和段页式管理。

10、存储器的速度指标:访问时间、访问周期时间和带宽。

11、提高存储器工作速度的技术:

1)芯片技术:

①快速页式访问方式②增强数据输出方式③同步访问方式④相联存储器

2)结构技术

①增加存储器的数据宽度②采用多体交叉存储技术

12、存储器分成:

挥发性的:RAM SRAM:速度快

DRAM :需要刷新

非挥发性的:ROM (ROM、PROM、EPROM和EEPROM)

13、静态存储器的读操作和写操作:

1)读操作:①送地址

②设置读信号(WE*置高电平、CS*和OE*置低电平)

③读数据

2)写操作:①送地址

②送数据

③设置读信号(OE*置高电平、CS*和WE*置低电平)

14、RAM和ROM的区别?

答:RAM和ROM的区别主要有:

①RAM的造价成本比ROM的高;

②RAM的存取速度比ROM的要快;

③RAM具有掉电易失性,是挥发性存储器,而ROM具有掉电不易失性,是非挥发性存储器;

15、静态存储器和动态存储器分别利用什么来存储0和1信息?

答:静态存储器利用双稳态触发器来存储0和1信息,动态存储器利用电容器上的电压来存储0和1信息。

第四章指令系统

1、计算机指令中一般包含哪些字段?各有什么作用?计算机指令为什么要有一定的格式?答:计算机指令一般有操作码和地址码组成,操作码主要是用来指明指令的操作类型,地址码是用来标识操作数存储位置的字段。计算机指令需要一定格式是因为,指令格式指定了指令中编码字段的个数、各个字段的位数以及各个字段的编码方式。

2、根据指令中地址码的数量,可将指令分为:零地址指令、一地址指令、二地址指令和三地址指令。

3、操作数的存储方式:小数端存储方式和大数端存储方式。

4、计算机中常用寻址方式:

①隐含寻址方式②立即数寻址方式③寄存器寻址方式

④直接寻址方式⑤寄存器间接寻址方式⑥存储器间接寻址方式

⑦相对寻址方式⑧变址和基址寻址方式⑨复合寻址方式

5、常见的指令系统类型:

答:①数据传送指令②算术运算指令③逻辑运算指令④程序流程控制指令

⑤输出输入操作指令⑥堆栈操作指令⑦字符串处理指令⑧系统指令

其中,前四种指令类型是必备的,而后四种指令类型是可选的

6、什么是程序计数器PC?为什么要设置PC?

答:程序计数器PC是指用来存放下一条要执行指令的地址的寄存器。设置PC的原因是方便寻找下一条要执行的指令的地址。

第五章控制器

1、中央处理器的功能:

1)指令控制

2)操作控制

3)数据运算

4)异常处理和中断处理

另外,还有存储管理、总线管理和电源管理等功能。

2、中央处理器的组成:由控制器和运算器组成。

3、计算机中有哪些类型的寄存器?各有什么功能?

1)指令寄存器,是用来存放当前正在执行的指令。

2)程序计数器,是用来存放下一条指令的地址。

3)数据寄存器,是用来存放操作数、运算结果和运算中间结果。

4)地址寄存器,是用来存放操作数的地址。

5)状态寄存器,是用来存放运算中的状态。

4、数据通路有哪两种形成的方法?各有什么特点?

1)总线结构,该数据通路的方式下,结构简单,但指令执行的效率不高。

2)专用通路,该数据通路的方式下,结构复杂,由于采用为每一条指令执行设置相应的部

件和连接线路,因此,指令执行的效率高。

5、控制信号产生的方法:硬连线逻辑方式和微程序方式。

6、在计算机中条件转移指令设计的方法:

1)条件码方法

2)条件寄存器方法

3)比较与转移方法

7、硬连线控制器的组成:时钟源、环形脉冲发生器、控制信号的编码器电路和指令译码逻辑电路构成。

8、硬连线控制电路的设计步骤:

1)根据每条指令功能,画出指令执行的流程图。

2)列出每条指令的所有控制信号。

3)写出每个控制信号的逻辑表达式。

4)画出控制器的逻辑框图,标出每个信号的名称。

9、微程序控制的基本思想:

答:是把指令执行所需要的所有控制信号存放在一个存储器中,需要时从这个存储器中读取,在计算机运行时,一条有一条地读出这些微指令,从而产生各种操作控制信号。

10、一条微指令分为哪些部分?各起什么作用?

答:由操作控制部分和顺序控制部分构成,操作控制部分主要是提供控制字,顺序控制部分

又分成转移控制字段和转移地址字段,其中转移控制字段主要是表示转移条件,转移地址字段是指定下一条微指令的地址。

11、微程序控制器的组成:控制存储器、微指令寄存器、微地址寄存器和地址转移逻辑部件。

12、硬连线控制器与微程序控制器的区别:

从功能上看,硬连线控制器和微程序控制器没有本质上的区别,其差异主要在于操作信号的形成方法和原理。微程序方法比较规整,修改容易。但速度比硬连线的要慢。

13、设计微指令的目标:

①缩短微指令长度②减小控制存储器的容量③提高指令执行速度

④修改容易⑤设计方法灵活

14、控制字的编码方式:

①直接表示法②编码表示法③混合表示法

15、微地址形成的方法:

①计数器方式②断定方式③结合方式

第六章系统总线

1、总线的基本特性:物理特性、功能特性和电气特性。

2、总线的物理特性有:物理连接方式、连线的类型、连线的数量、接插件的形状和尺寸、引脚线的排列方式。

1)根据连线的类型不同,总线可以分成:电缆式、主板式和背板式。

2)根据连线的数量不同,总线可以分成:串行总线盒并行总线。

3、总线的功能特性有:总线的功能层次、连接的资源类型、信息传递类型、信息传递方式和控制方式。

1)根据总线的功能层次不同,总线可以分成:芯片级(内部总线)、板级(局部总线)和

系统总线。

2)根据总线连接的资源类型不同,总线可以分成:处理器总线和输入输出总线。

4、总线的电气特性有:信号传递方向、信号的时序特征和电平信号特征。

1)根据信号传递方向不同,总线可以分成:单工总线和双工总线(半双工、全双工)。

2)根据电平规定信号不同,总线可以分成:单端方式和差分方式。

5、在计算机总线中,传输信息的方式有:串行传输、并行传输、复合传输和消息传输。

1)串行传输:按位传输

2)并行传输:按组传输

3)复合传输:复用总线、分时传输

4)消息传输:用数据包的形式传输

6、同步通信和异步通信的区别?它们分别实用在什么场合?

答:同步通信的数据传输以一定的速度传输,数据发送和接收的时间是约定好的在传输过程中不需要控制机制;异步通信的数据传输是随时发送的,发送方需要一种机制通知接收方对数据的接收时刻。

同步通信适用于系统中歌设备各种总线操作的速度固定且一致的场合;异步通信适用于慢速设备的输入输出的传输。

7、总线事务时什么?总线事务的内容包含哪些?

答:总线事务是指从请求总线到完成总线使用的操作序列。它包括:请求操作、裁决操作、地址传输、数据传输和总线释放操作。

8、总线控制方式有:①集中控制方式:链式查询方式、计数器查询方式和独立请求方式。

②分布控制方式

9、系统总线接口的基本功能:

1)控制功能

2)数据缓存

3)状态设置

4)数据转换

5)整理功能

6)程序中断

10、UART的接口的组成:接收器、发送器、控制电路和状态寄存器。

11、提高并行总线信号速度的主要措施有:

1)增加总线宽度

2)增加传输数据的长度

3)缩短总线的长度

4)降低信号电平

5)采用差分信号

6)采用多条总线

第七章输入输出系统

1、CPU与外设进行通信有三种类型:

1)CPU向外设发出控制命令

2)外设向CPU提供状态信息

3)数据在CPU与外设之间的传递

2、CPU对外设的寻址方式有:统一编址和单独编址。

3、外设的定时方式有:同步传输方式和异步传输方式。

4、按照数据传输的方式不同,外设可以分成:字设备和块设备。

5、实现输入输出数据传输的方式有:程序控制方式、DMA方式和通道方式。

6、在程序查询方式下,CPU对外设的输入输出操作的过程是:

1)CPU向外设接口的控制寄存器中写入控制信息。

2)外设设置状态寄存器。

3)CPU读取外设的状态寄存器,查询外设是否就绪。

4)外设如果就绪,则读/写外设接口数据寄存器,否则,继续查询。

5)在完成一次数据传输后,继续查询外设状态寄存器。

7、中断调用与子程序调用的区别:

答:中断可以随时发生;中断服务程序与中断时正在执行的程序是相互独立的;中断时正在运行的程序可能是属于不同用户的应用程序,而处理中断的服务程序一般是操作系统的模块。

8、CPU响应中断的步骤:

①关中断②保护现场③识别中断④执行中断服务程序⑤恢复现场⑥开中断

9、CPU怎样根据设备的识别代码求得中断处理程序的入口地址?

答:外设的中断码可以用于选择相应设备中断的服务程序的起始地址。对于每一个中断请求,CPU用一个相应的程序进行服务,一个中断识别代码对应于一个中断服务程序起始地址,计算机将各种中断处理程序的入口地址放在中断向量表中,中断识别代码作为查这个表的索引,在响应中断请求时根据识别代码从表中找到处理程序的入口地址,并将其装入PC中。

10、实现中断裁决的方式有:轮询方式、菊花链方式和独立请求方式。

11、在多重中断系统中,CPU响应中断的步骤:

①关中断②保护现场③识别中断④开中断

⑤执行中断服务程序⑥关中断⑦恢复现场⑧开中断

12、中断方式的外设接口控制器的功能:

1)能够向CPU发中断请求信号

2)能够识别中断类型并转入相应服务程序

3)能够进行允许和禁止中断

4)能够使中断请求参与优先级排队

13、中断类型:内部中断、外部中断和软件中断

14、DMA方式数据传输过程可以分成:传输前的预处理、数据传输和传输后处理。

15、DMA的优点和适用场合:优点:①数据传输速度快②提高CPU的工作效率。

适用场合:高速设备

16、CPU和DMA同时访问存储器产生冲突时,解决的方法:

1)CPU等待DMA的操作

2)DMA乘存储器空闲时访问存储器

3)CPU和DMA交替访问存储器

17、DMA控制器组成:地址寄存器、长度计数器、数据寄存器、标志寄存器、命令寄存器和控制逻辑。

18、按照数据传输方式,通道可以分成:字节多路通道、数组多路通道和选择通道。

19、通道的功能:①接受CPU命令,控制外设

②读取程序,控制外设各种操作

③控制数据传输

④读外设状态

⑤发中断请求

20、DMA组成:通道控制器、状态寄存器、中断机构、通道地址寄存器和通道指令寄存器。

第八章外围设备

1、外围设备可以分为:输出输入设备和外部存储器设备。

2、打印机可以分成:击打式和非击打式(喷墨式、激光式和热转印式)

3、磁盘记录方式有:归零制、不归零制、调相制和调频制。

4、磁盘存储设备的主要技术指标:存储密度、存储容量、寻址时间和数据传输率。

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

(完整版)计算机组成原理简答题

计算机组成原理简答题 第四章 1、存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache-主存和主存-辅存这两个存储层次上。 Cache-主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU 访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存-辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与CACHE之间的信息调度功能全部由硬件自动完成。而主存与辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部分通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。 2. 说明存取周期和存取时间的区别。 解:存取周期和存取时间的主要区别是:存取时间仅为完成一次操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期 = 存取时间 + 恢复时间 3. 什么叫刷新?为什么要刷新?说明刷新有几种方法。 解:刷新:对DRAM定期进行的全部重写过程; 刷新原因:因电容泄漏而引起的DRAM所存信息的衰减需要及时补充,因此安排了定期刷新操作; 常用的刷新方法有三种:集中式、分散式、异步式。 集中式:在最大刷新间隔时间内,集中安排一段时间进行刷新,存在CPU访存死时间。 分散式:在每个读/写周期之后插入一个刷新周期,无CPU访存死时间。 异步式:是集中式和分散式的折衷。 4. 半导体存储器芯片的译码驱动方式有几种? 解:半导体存储器芯片的译码驱动方式有两种:线选法和重合法。 线选法:地址译码信号只选中同一个字的所有位,结构简单,费器材; 重合法:地址分行、列两部分译码,行、列译码线的交叉点即为所选单元。这种方法通过行、列译码信号的重合来选址,也称矩阵译码。可大大节省器材用量,是最常用的译码驱动方式。 5. 什么是“程序访问的局部性”?存储系统中哪一级采用了程序访问的局部性原理? 解:程序运行的局部性原理指:在一小段时间内,最近被访问过的程序和数据很可能再次被访问;在空间上,这些被访问的程序和数据往往集中在一小片存储区;在访问顺序上,指令顺序执行比转移执行的可能性大 (大约 5:1 )。存储系统中Cache—主存层次采用了程序访问的局部性原理。 6. Cache做在CPU芯片内有什么好处?将指令Cache和数据Cache分开又有什么好处? 答:Cache做在CPU芯片内主要有下面几个好处:

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理简答题

.简述计算机系统 计算机系统是由硬件、软件组成的多级层次结构。 计算机硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。传统上将运算器和控制器称为CPU,而将CPU和存储器称为主机。 计算机软件是计算机系统结构的重要组成部分,也是计算机不同于一般电子设备的本质所在。计算机软件一般分为系统程序和应用程序两大类。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能和用途,它包括:()各种服务程序,()语言类程序,()操作系统,()数据库管理系统。应用程序是针对某一应用课题领域开发的软件。 .冯·诺依曼型计算机设计思想、主要特点。 计算机由运算器、控制器、存储器、输入和输出设备五部分组成。 数据以二进制码表示。 采用存储程序的方式,程序和数据放在同一个存储器中并按地址顺序执行。 机器以运算器为中心,输入输出设备与存储器间的数据传送都通过运算器。 已知和,用变形补码计算,同时指出运算结 果是否溢出。 () () 解:() [x]补=,[y]补= [x]补 +[y]补 两个符号位出现“”,表示无溢出 []补, () [x]补=,[y]补= [x]补 +[y]补 两个符号位出现“”,表示有正溢出。 .已知和,用变形补码计算,同时指出运算结果是否溢出。 ()() ()[x]补=,[y]补=, [y]补= [x]补 +[y]补 两个符号位出现“”,表示有正溢出。 ()[x]补=,[y]补=, [y]补= [x]补 +[y]补

两个符号位出现“”,表示无溢出 []补, . 简要说明存储器层次结构、采用层次结构的目的,说明每一层次的存储器所用的存储介质的特性。 计算机存储系统中,一般分为高速缓冲存储器、主存储器和辅助存储器三个层次。 采用层次模型的目标是为了解决对存储器要求容量大,速度快,成本低三者之间的矛盾,即在合理的成本范围内,通过对各级存储器的容量配置,达到可接受的性能。 高速缓冲存储器:即,它一般用的是,其特点是速度快、价格高。 主存储器:一般是,其速度相对快,价格居中。 辅助存储器:一般是硬盘,可以断电后保存数据,容量大,但速度慢。 . 比较和的主要特性,用其组成系统时,从设计和使用角度看两 者有何区别。 ()和的主要性能 区别 特性静态存储器动态存储器 存储信息触发器电容 破坏性读出非是 需要刷新不要需要 送行列地址同时送分两次送 运行速度快慢 集成度低高 发热量大小 存储成本高低 ()器件的特点是速度快、不用刷新,但集成度不高,价格贵。它一般用于做高速缓存。 器件的特点是相对廉价和大容量,但须定时刷新。它一般用于做主存储器。 . 一个具有位地址和位字长的存储器,问: .该存储器能够存储多少字节的信息? .如果存储器由位的芯片组成,需要多少片? .需要多少位作芯片选择? .存储单元数为=, 故能存储个字节的信息。 所需芯片数为( )()=片 (地址线位),(地址线位) 片位组成位,地址总线的低位可以直接连到芯片的管脚 组位组成位,地址总线的高两位(,)需要通过:线译码器进行芯片选择 . 设有一个具有位地址和位字长的存储器,问: ()该存储器能够存储多少个字节的信息? ()如果存储器由位的芯片组成,需要

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理简答题

1 说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 3 请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 4 请说明程序查询方式与中断方式各自的特点。 程序查询方式,数据在CPU和外围设备之间的传送完全靠计算机程序控制,优点是硬件结构比较简单,缺点是CPU效率低,中断方式是外围设备用来“主动”通知CPU,准备输入输出的一种方法,它节省了CPU时间,但硬件结构相对复杂一些。 5 指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 6 什么是指令周期?什么是机器周期?什么是时钟周期?三者之间的关系如何? 指令周期是完成一条指令所需的时间。包括取指令、分析指令和执行指令所需的全部时间。机器周期也称为CPU周期,是指被确定为指令执行过程中的归一化基准时间,通常等于取指时间(或访存时间)。 时钟周期是时钟频率的倒数,也可称为节拍脉冲或T周期,是处理操作的最基本单位。一个指令周期由若干个机器周期组成,每个机器周期又由若干个时钟周期组成。 7 简要描述外设进行DMA操作的过程及DMA方式的主要优点。 (1)外设发出DMA请求; (2)CPU响应请求,DMA控制器从CPU接管总线的控制; (3)由DMA控制器执行数据传送操作; (4)向CPU报告DMA操作结束。 主要优点是数据数据速度快 8 在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长? 哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 9 说明计数器定时查询工作原理。 计数器定时查询方式工作原理:总线上的任一设备要求使用总线时,通过BR线发出总线请求。总线控制器接到请求信号以后,在BS线为“0”的情况下让计数器开始计数,计数值通过一组地址线发向各设备。每个设备接口都有一个设备地址判别电路,当地址线上的计数值与请求总线的设备相一致时,该设备置“1”BS线,获得总线使用权,此时中止计数查询。 10 什么是刷新存储器?其存储容量与什么因素有关? 为了不断提供刷新图像的信号,必须把一帧图像信息存储在刷新存储器,也叫视频存储器。其存储容量由图像灰度级决定。分辨率越高,灰度级越多,刷新存储器容量越大 11 外围设备的I/O控制方式分哪几类?各具什么特点? 外围设备的I/O控制方式分类及特点: (1)程序查询方式:CPU的操作和外围设备的操作能够同步,而且硬件结构比较简单 (2)程序中断方式:一般适用于随机出现的服务,且一旦提出要求应立即进行,节省了CPU的时间,但硬件结构相对复杂一些。 (3)直接内存访问(DMA)方式:数据传输速度很高,传输速率仅受内存访问时间的限制。需更多硬件,适用于内存和高速外设之间大批交换数据的场合。 (4)通道方式:可以实现对外设的统一管理和外设与内存之间的数据传送,大大提高了CPU的工作效率。 (5)外围处理机方式:通道方式的进一步发展,基本上独立于主机工作,结果更接近一般处理机。

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理简答题.wps

一、cache的映射方式及特点 1.全相联方式的主要缺点是比较器电路难于设计和实现,因此只适合于小容量cache采用。 2.直接映射方式的优点是硬件简单,成本低。缺点是每个主存块只有一个固定的行位置可存放。 3.组相联映射的方式是前两种方式的折中方案,它适度地兼顾了二者的优点又尽量避免二者的缺点,因此被普遍采用。 二、cache的替换策略及特点 1.最不经常使用算法,LFU算法认为应将一段时间内被访问次数最少的那行数据换出。 2.近期最少使用算法,LRU算法将近期内长久未被访问过的行换出。 3.随机替换策略实际上是不要什么算法,从特定的行位置中随机地选取一行换出即可。 三、cache的写回策略方式及特点 1.写回法:当CPU写cache命中时,只修改cache的内容,而不立即写入主存;只有当此行被换出时才写回主存。减少了访问主存的次数,但是存在不一致性的隐患,实现时,每个cache行必须配置一个修改位,以反映此行是否被CPU修改过。 2.全写法:当写cache命中时,cache与主存同时发生写修改,因而较好地维护了cache 与主存的内容的一致,当写cache未命中时,直接向主存进行写入。cache中每行无需设置一个修改位以及相应的判断逻辑,缺点是降低了cache的功效。 3.写一次法:基于写回法并结合全写法的写策略,写命中与写未命中的处理方法与写回法基本相同,只是第一次写命 中时要同时写入主存。这便于维护系统全部cache的一致性 四、对指令系统性能的要求有哪些? 1.完备性,要求指令系统丰富、功能齐全、使用方便。 2.有效性,利用该指令系统所编写的程序能够高效率的运行。 3.规整性包括指令系统的对称性、匀齐性、指令格式和数据格式的一致性。 4.系列机各机种之间具有相同的基本结构和共同的基本指令集,因而指令系统是兼容的,即各机种上基本软件可以通用。 五、精简指令系统的特点 RISC指令系统的最大特点是: ⑴选取使用频率最高的一些简单指令,指令条数少; ⑵指令长度固定,指令格式种类少;

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理A简答题

《计算机组成原理》简答题 第1章计算机系统概述 1.什么是计算机系统的层次结构? 通常,把一个计算机硬、软件系统的完整内容划分为6个层次。分层能够更精准地理解、说明每一个层次的功能和运行机制,表明各层次之间相互依存、彼此支持的关系。下一层是实现上一层的基础,上一层是对下一层的功能扩展。 ①数字电路与逻辑设计是实现计算机硬件的基础,处于最底层; ②由5个部件组成的计算机硬件系统构成微体系结构层,接在数字 逻辑层上面; ③硬件系统实现了全部指令系统的运行功能,提供了设计软件的能 力,处在硬件系统的顶层和软件系统的底层; ④最底层的软件是操作系统,提供了管理和运行计算机系统的能力; ⑤在此基础上设计的汇编语言,提供了设计程序的功能较弱的基本 工具; ⑥再往上实现了功能更强的高级语言,设计各种程序更容易,使用 计算机更方便。 2.什么是计算机系统中的硬件系统和软件系统? 计算机硬件系统是一种高度复杂的、由多种电子线路、精密机械装置等构成的、能自动并且高速地完成数据处理、计算的装置或者工具。硬件系统由运算器部件、控制器部件、存储器部件、输入设备和输出设备5个大的功能部件组成。其中运算器和控制器共同构成了大家熟知的CPU,各部件间通过总线连接。显然,这些部件中运算器用于完成对数据暂存、运算处理功能;控制器向各个部件、设备提供协调运行所需要的控制信号;存储器用于完成对数据存储功能;输入设备和输出设备分别用于完成对原始数据输入功能和对运算结果输出功能。

计算机软件系统是由完成计算机资源管理、方便用户使用的系统软件(厂家提供),和完成用户对数据的预期处理功能(用户设计,自己使用)的程序这样两大部分构成的。基本系统软件主要由3个部分组成: (1)分担计算机系统中的资源管理与分配,也向使用者和程序设计人员提供简单、方便、高效服务的操作系统; (2)支持用户按照计算机最基本功能(指令)设计程序的汇编语言; (3)支持用户按照解题算法设计程序的高级语言。 在一个完整的计算机系统中,软件系统是建立在硬件系统层次之上的部分,它的存在以已有硬件系统为前提,并且必须在已有硬件上才能运行。 硬件系统也必须在软件系统的调度指挥下才能发挥出应有的运行效率,体现出它的使用价值。 指令系统是设置在硬件系统和软件系统之间的结合点和纽带,硬件系统实现每一条指令的功能,全部软件系统都是由指令序列组成的程序。 第2章数据表示和运算方法 1.数制转换(二进制需要小数点后保留8位): (1)将十进制数(0.71)10分别转换成二进制数、十六进制数和BCD码; (2)将十六进制数(1AB)16转换为二进制数和十进制数。 答:(0.71)10=(0.01110001)BCD=(0.10110101)2=(0.B5)16 (1AB)16=(000110101011)2=(427)10 2.在16位定点原码整数中,什么是能表示的最大正数,最小正数,最大负数和最小负数的机器数形式?对应的十进制数的数值范围是什么? 答:最大正数:0111 1111 1111 1111 最小正数:0000 0000 0000 0001 最大负数:1000 0000 0000 0001 最小负数:1111 1111 1111 1111 数值表示范围:-(215-1)~ +(215-1)

相关主题
文本预览
相关文档 最新文档