当前位置:文档之家› 数字逻辑电路专题实验指导书

数字逻辑电路专题实验指导书

数字逻辑电路专题实验指导书
数字逻辑电路专题实验指导书

数字逻辑电路专题实验指导书Digital Logic Circuit Design Topic Lab Guide

张琴毛文林马晓农

2006年3月

前言

本课程是一门研究计算机硬件设计的课程,要求学生独立设计出较复杂的数字系统的专题实验课程。

本课程是是计算机硬件技术专题实验课程之一;是与“数字逻辑和数字系统”课程相配套的设计课程;是为了巩固理论教学,使之与实践教学紧密结合,重点培养学生的灵活运用、创新能力和综合实践能力的开放性教学环节。

本实验指导书正是为本课程而制定的。

本实验指导书给出的实验项目分三个阶段:

第一个阶段为测试与验证性实验,通过实验熟悉实验平台与工具。

第二个阶段为基本实验,为后续项目设计做好充分的准备。

第三个阶段为综合实验,指导书给出来5个实验作参考,鼓励学生独立完成一个自定项目的设计与实现。

以上实验内容全部通过Max+Plus ΙΙ及多功能电子学习机的下载测试验证。在此特别感谢李伟,张新波,张征,潘煜,徐利锋等人,他们为本实验指导书的编写及实验测试工作给予很多帮助。

目录

第一章实验指南

1.1 实验室守则

1.2 实验报告书写指南

第二章预备知识

2.1 实验环境、平台及工具介绍

2.2 元器件测试

实验一:基本逻辑门电路测试实验

实验二:三态门测试实验

实验三:组合逻辑中的竞争险象

实验四:触发器实验

实验五:数据选择器和译码器实验

实验六:计数器实验

第三章 数字逻辑电路基本实验

3.1 简单电路设计

实验一:简单时序电路实验

实验二:四相时钟分配器实验

实验三:4位向量加法/减法器

实验四:带进位通用加法器设计

实验五:触发器功能模拟实现

实验六:译码器实验

3.2 中规模电路设计

实验一:向量乘法器设计

实验二:多路数据选择器

实验三:八位二进制代码转化为BCD码

实验四:计数器电路设计

实验五:并入、并出移位寄存器设计

实验六:SDRAM双端口存储器设计

第四章项目设计实验

项目一:数字秒表设计

项目二:数字电子钟设计

项目三:电子密码锁设计

项目四:交通灯控制设计

项目五:智力竞赛抢答装置设计

附录A:多功能电子学习机介绍

附录B:Max+Plus ΙΙ介绍(参考MIT的Max+Plus ΙΙ初级使用指南)附录C:Verilog语言介绍

第一章实验指南

在这一章里,我们主要介绍了学生在实验室中应该遵守的一些实验守则,以及如何书写实验报告。实验室守则是使学生能够在一个良好的环境中正常进行实验的保证,而实验报告是学生对自己所完成的实验工作及情况的汇报和总结,这些对实验室的建设非常重要,对专题实验课程的建设也非常重要。

1.1实验室守则

实验室是进行科学实验的地方,是一个正规、严肃的地方。因此,为了更安全、更有效、更合理、更规范地使用实验室,特制定本守则。

1.1.1 实验操作守则

1、实验前应认真阅读实验指导书的有关实验内容,弄清楚实验的题目、实验步骤及实验

设备的使用,提高实验效率。

2、实验中必须遵守实验室的规章制度,服从实验指导老师的安排,虚心听取老师的指导。

实验中出现问题,碰到困难,应尽量自行寻找解决问题的方法,也可以向指导老师请教。

3、在正常安排的实验时间内,必须完成教师布置的实验任务。在此前提下,鼓励学生增

加实验内容,或自行设计实验。

4、每次做完实验,等待指导老师验收后,才能离开。

5、如实记录实验结果,认真、按时完成实验报告,不得抄袭他人的实验结果。

1.1.2 实验秩序守则

1、实验人员必须遵循实验时间表的安排,来实验室做实验,不得迟到、早退,不得无故

旷课。

2、进入实验室不准吃东西、喝饮料,不得丢弃任何废弃物,以保证实验室环境整洁。

3、实验室是教学实验环境,禁止大声讲话、来回走动,避免影响他人实验。

4、禁止玩游戏、看电影!

5、下机时应将设备正常关闭、凳子摆放整齐。

1.1.3 设备使用安全守则

1、实验过程中要爱惜使用的实验仪器、实验电路元件,轻拿轻放;用完后仪器摆放整齐,

电路元件、导线整理归位,清理干净实验台面上的杂物。

2、实验过程中必须服从指导老师安排,不得随意搬动仪器、设备,注意用电安全。

3、实验过程中野蛮操作造成实验仪器设备、实验电路元件损坏的要赔偿损失;违规操作

造成重大损失的要严肃处理。

4、在实验过程中注意安全,如果发现设备有损坏、故障等异常情况,应立即切断电源,

并报告指导教师处理。

1.2实验报告书写指南

实验报告是对实验结果的分析、总结,使思想和过程具体化。书写实验报告,是学生必须培养的能力。一份报告的好坏,可以看出对实验的理解程度、对结果的分析过程以及个人的总结经验、推出结论的能力。实验报告是传达你的工作的首要方法。好的报告可以很好的反映你的工作和你,相反,不好的报告会产生负面的影响,即使他们描绘的是很好的技术工作。因此,仔细认真的写好你的报告是非常重要的。

1.2.1 报告的作用

一方面是为老师而书写。学生写报告的目的,是要让老师知道你干了哪些工作,完成了哪些任务,自我评价最终的效果。因此,报告的审阅者是一个水平比你高一点的人,你是不是应该给自己点压力?你必须让老师理解你的报告,并肯定你的工作。因而,你必须从技术上详细说明你的工作,解释你的结论。

另一方面是为你自己书写。在实现复杂项目的过程中,写工作文档也是一件非常重要的事情。养成好的工作习惯:记录你的工作细节,有助于你组织你的项目。项目越大,它的作用就越明显。如果在项目的早期就开始做,会特别的有帮助。你可以仔细地思考你设计电路的每一部分,描述每一部分的功能,并说明各个部分怎样在一起工作。

1.2.2 报告的组织

实验报告应该充分描述所做的工作,但也不要太长太杂乱。报告中应该包含实验中设计的电路图及其描述,这可以使你的报告更加清晰。一般的实验报告包含以下部分:标题:用一句话来告诉别人你做什么。

概述:组织技术文章最重要的规则是“在正文之前介绍所有的内容”。这样,就使报告的读者在去理解全文之前,对设计任务、目的、设计的策略、内在特征和应用

等有一个大概的了解。千万记住这个规则。

目录:整个实验报告的结构框架。

正文:对设计应该详细的描述,使读者可以理解它是怎么工作的。这是任何技术文章的核心部分。正文通常包括系统功能描述、系统功能设计过程、每个模块的设计

等。正文应该能反映设计的思想。

电路图:在数字逻辑电路专题实验报告中,电路图是必不可少的。它们是模块图的具体表现,可以更容易地用专业化的准确描述来传递你已经完成和实现的设计工作

的信息。

测试和调试:对于比较复杂的数字逻辑实验,很少有一次就能顺利完成的,测试和调试是实验过程不可缺少的部分。如果你的电路包含几个小一点的子系统,你就应

该描绘一下你是怎么构建并测试它们的。如果一个子系统不能正常工作,就要

给出你调试并使它正常工作的方法。在这一部分,你还应该指出实验过程中还

存在问题,以及你准备怎样解决这些问题。

结论:简要描述实验结果,实验中碰到的问题以及解决的方法。

最后,记住给自己留足够的时间来做报告,校对报告!记住,你的报告是把你的工作告诉你应该告诉的人,请重视。

1.2.3 实验报告的格式

1. 开题报告的格式

页首:数字逻辑电路专题实验

页尾:总页数、第几页

标题:(设计项目名称)

姓名:学号、姓名、班级

同组成员:学号、姓名、班级

日期:年月日

联系电话:

设计项目的目的:

本项目的概要说明及难点:

可以给出设计初方案(包括模块图及相关的文字、图、表说明)。

进度安排:设计小组的每人要分工明确

准备报告讨论的内容:

列出本人预在讨论中要提出的问题及其思考。

记录整理讨论中提出的每个问题及其回答,最终按照要求画出相关的图表。

评价该项目的可行性、复杂度,创新点和可能的风险(如果有的话)。

2. 设计方案报告的格式

页首:数字逻辑电路专题实验

页尾:总页数、第几页

封面内容:

标题:(设计项目名称)

姓名:学号、姓名、班级

同组成员:学号、姓名、班级

日期: 年 月 日

联系电话:

报告正文的内容:

项目的目的与目标;

项目的概要介绍;

详细的系统设计方案:

1.系统模块图、状态图、状态表、ASM图等,及各模块说明;

2.各种逻辑图、表达式、Verilog代码的分析及其相关说明等;

3.输入、输出信号的时间图(时序图);

测试方案:

实验中应得到验证的结果,包括实验中间结果、仿真的最终结果、波形图、等。

3. 最终的实验报告的格式

页首:数字逻辑电路专题实验

页尾:总页数、第几页

封面内容:

标题:(设计项目的名称)

姓名:学号、姓名、班级

同组成员:学号、姓名、班级

日期:年月日

联系电话:

报告正文的内容:

项目的目的与目标;

项目的概要介绍;

详细的系统设计方案:

1.系统模块图、状态图、状态表、ASM图等,及各模块说明;

2.项目设计的特点(或技术难点)

3.各种逻辑图、表达式、Verilog代码的分析及其相关说明等;

4.输入、输出信号的时间图(时序图);

测试结果的分析:

1.模拟仿真时各种输入、输出信号的时间图(时序图);

2.实验的测试结果的讨论:包括实验中间结果、仿真的最终结果的分析,是否达到预期的目的与效果。

项目的总结:

对设计实现的项目进行评价,总结经验,尤其是对项目的进一步完善提出意见。

报告的结束语:

1.讨论对本专题实验开设的体会:意义、重要性和必要性;

2.通过项目设计实验,你有哪些收获?

1.对本专题实验有什么意见和建议?

参考书或文献目录

第二章预备知识

要求:1、熟悉实验室设备、器件。

2、掌握基本电路设计方法,并熟练掌握Max+Plus II、Verilog语言等设计工具。

在目前的数字逻辑专题实验中,用导线和器件在面包板上搭电路的方法,只是做为器件测试的一种手段。随着模拟仿真技术的发展,我们可以先在模拟软件(如:Max+plusⅡ)上画出电路,进行仿真,然后下载、实现。当然,也可以用硬件描述语言(如VHDL、Verilog HDL等)来设计实现电路。这就大大减少了电路设计中的器件冗余,还节省了很多人力、物力。下面就让我们来一一认识这些工具。在这里,我们只是介绍了一些基本知识,同学们还可以去阅读相关的参考资料,学习探索更多的知识。

2.1 实验环境、平台及工具介绍

我们在实验中引进的多功能电子学习机是一款集数字电路实验板、EDA/CPLD实验板、单片机开发系统于一体的实验设备。其中的数字电路实验板和EDA/CPLD实验板是本专题实验的主要平台,它们与微机、示波器等构成一个硬件实验平台,配合着相应的软件开发工具(由于硬件环境所限,推荐使用Max+plusⅡ10.0),通过实验项目的实施,强化本科学生的理论联系实际,全面提高学生的实验技能,培养科研能力。

关于多功能电子学习机的介绍,主要是围绕本课程实验的需求进行学习,具体内容参见附录资料:“附录A:多功能电子学习机介绍”。同时,“附录B:Max+Plus ΙΙ介绍(参考MIT的Max+Plus ΙΙ初级使用指南)”以及“附录C:Verilog语言介绍”都是我们在实验中要用到的知识,学生应在项目设计之前熟悉实验平台,研究并掌握这些设计工具的使用,为进一步的工作做好充分的准备。

2.2 元器件测试

在这一部分,我们主要安排了一些最基本的电路实验,非常简单,只需要你连几根线。我们的目的是让同学们熟悉基本门电路、中规模器件(如:计数器,加法器)的功能原理。虽然动手的地方不是很多,但是需要你们认真地去思考,真正掌握数字逻辑实验的基础。并且,在完成要求实验的前提下,你可以根据自己的想象,自由地去发挥,去学习更多的东西。

实验一基本逻辑门电路测试实验

一、实验目的

1.掌握TTL与非门、或非门及异或门输入、输出之间的逻辑关系。

2.熟悉TTL中、小规模集成电路的外形、管脚及使用方法。

二、实验器件

1.二输入四与非门74LS00 1片

2.二输入四或非门74LS28 1片

3.二输入四异或门74LS86 1片

三、实验内容

1.测试74LS00一个与非门的输入和输出的逻辑关系。

2.测试74LS28一个或非门的输入和输出的逻辑关系。

3.测试74LS86一个异或门的输入和输出的逻辑关系。

四、实验提示

1.将被测器件插入实验台上的面包板上。

2.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的+5V连接。

3.用实验台的电平开关输出作为被测器件的输入。拨动开关,即可以改变器件的输入电平。4.将被测器件的输入引脚和实验台上的电平指示灯连接,指示灯亮表示输出电平是1,指示灯灭表示输出电平是0。

五、实验连线图

74LS00中包含4个二与非门,74LS28中包含4个二或非门,74LS86中包含4个异或门。下面,我们画出测试74LS00第一个与非门的接线图以及测试结果,其它逻辑门的测试类似,请自己写出测试结果。下图中,K1、K2是电平开关输出,LED0是电平指示灯。

1.如图2.30,是测试74LS00逻辑关系接线图;右边的表是74LS00的测试结果。

2.测试74LS28的逻辑关系,并记录测试结果。逻辑关系接线图自己画。

3.测试74LS86的逻辑关系,并记录测试结果。逻辑关系接线图自己画。

实验二三态门测试实验

一、实验目的

1.掌握三态门逻辑功能和使用方法

2.掌握用三态门构成总线的方法

3.学会用示波器测量简单的数字波形

二、实验器件及仪表

1.二输入四与非门74LS00 1片

2.三态输出的四总线缓冲门74LS125 1片

3.万用表

4.示波器

三、实验内容

1.74LS125三态门的输出负载为74LS00的一个输入端,另一个输入端接低电平,测量74LS125的三态输出、高电平输出、低电平输出的电压值。同时测量三态输出时74LS00的输出值。

2.74LS125三态门的输出负载为74LS00的一个输入端,另一个输入端接高电平,测量74LS125的三态输出、高电平输出、低电平输出的电压值。同时测量三态输出时74LS00的输出值。

3.用74LS125两个三态门构成一条总线,使两个控制端一个为低电平,另一个为高电平。

一个三态门的输入接1MHZ信号,另一个三态门的输入接500KHZ信号。用示波器观察三态门的输出。

四、实验提示

1.三态门74LS125的控制端C为低电平有效的。

2.用实验台的电平开关作为被测器件的输入。拨动开关,可以改变器件的输入电平。

五、实验接线图

1.实验1和实验2的接线图

在图2.31中,K1、K2、K3是电平开关输出,电压表指示电压测量点。拨动电平开关K3、K2、K1则改变74LS00的一个输入端、74LS125三态门控制端、三态门输入端的电平。2.当74LS00引脚2为低电平时,测量并记录在三态门输出高电平、三态门输出低电平、三态门三态输出电平这三种情况下74LS125引脚3的输出电压,同时测量三态输出时74LS00引脚3的输出值。

3.当74LS00引脚2为高电平时,测试74LS125引脚3和74LS00引脚3,记录在三态门输出高电平、三态门输出低电平、三态门三态输出电平这三种情况下,74LS125的输出电压及74LS00引脚3输出电压。

4.用三态门构成总线接线图:

用三态门74LS125构成总线时,只要将三态门输出并联即可。在任何时刻,构成总线的三态门只允许一个控制端为低电平,其余控制端为高电平。图2.32中,K1、K2是电平开关输出。当K1为高电平,K2为低电平时,OUTPUT输出500KHZ;当K2为高电平,K1为低电平时,OUTPUT输出1MHZ。

六、思考

你所记录的实验2和实验3的电压结果是否相同?如果不相同,请给出理由。

实验三、组合逻辑中的竞争险象

一、实验目的

了解组合逻辑中的竞争险象。

二、实验所用器件和仪表

1.六反相器74LS04 1片

2.二输入四与非门74LS00 1片

3.示波器

三、实验内容

1.将74LS04中的三个反相器串接在一起。第一级反相器的输入接1MHZ的脉冲源,将第一级反相器的输入和第三级反相器的输出分别作为74LS00中一个与非门的输入,用示波器观测与非门的输出波形。

2.将74LS04中的三个反相器串接在一起。第一级反相器的输入接1MHZ的脉冲源,将第一级反相器的输入和第五级反相器的输出分别作为74LS00中一

个与非门的输入,用示波器观测与非门的输出波形。

四、实验接线图

1.实验1的接线图

2.实验2的接线图

五、思考

1.观察示波器上的波形图,分析毛刺产生的原因。

2.比较实验1和实验2的波形图,有什么不同?说出原因。

实验四触发器实验

一、实验目的

1.掌握RS触发器、D触发器、JK触发器的工作原理

2.学会正确使用RS触发器、D触发器、JK触发器

二、实验器件及仪表

1.二输入四与非门74LS00 1片

2.双D触发器74LS74 1片

3.双JK触发器74LS73 1片

三、实验内容

1.用74LS00构成一个RS触发器R、S端接电平开关输出,Q、Q端接电平指示灯。改变R、S的电平,观测并记录Q、Q的值。

2.用双D触发器74LS74的一个触发器进行功能测试。

(1)将CLRN(复位)、PRN(置位)引脚接实验台电平开关输出,Q、Q引脚接电平指示灯,改变CLRN、PRN的电平,观察并记录Q、Q的值。

(2)在(1)的基础上,置CLRN、PRN为高电平,D(数据)引脚接电平开关输出,CLK(时钟)引脚接单脉冲。在D为高电平和低电平的情况下,分别按单脉冲按钮,观察并记录Q、Q值。

(3)在(1)的基础上,将D引脚接1MHZ脉冲源,CLK引脚接10MHZ脉冲源。用双踪示波同时观测D端、Q端,记录波形,并进行分析。

3.制定对双JK触发器74LS73的一个JK触发器的测试方案,并进行测试。

四、实验提示

74LS73引脚11是GND,引脚4是VCC。

五、实验接线图

1.实验1的接线图如下:

图2.35中,K1、K2是电平开关输出,LED0、LED1是电平指示灯。RS触发器的测试步骤及测试结果如下:

(1)R=0, S=1,测得Q=1,Q=0;

(2)R=1, S=1,测得Q=1,Q=0;

(3)R=1, S=0,测得Q=0,Q=1;

(4)R=1, S=1,测得Q=0,Q=1;

(5)R=0, S=0,测得Q=1,Q=1。

时序电路的值和测试顺序有关,应引起注意。根据测试结果,得出RS触发器的功能表如下:

2.实验2的接线图

图2.36和2.37中,K1、K2、K3是电平开关输出,LED1、LED2是电平指示灯,AK1是按单脉冲按钮后产生的宽单脉冲。1MHz和10MHz是时钟脉冲源。根据实验要求,参照实验1的步骤,完成D触发器的测试。

3.参照实验1、实验2,自行设计一个JK触发器74LS73的设计方案,进行测试,并记录

测试结果、测试波形,画出JK触发器的功能表。

实验五数据选择器和译码器实验

一、实验目的

1.熟悉数据选择器的逻辑功能

2.熟悉译码器的逻辑功能

二、实验器件和仪表

1.双4选1数据选择器74LS153 1片

2.双2-4译码器74LS139 1片

3.万用表

4.示波器

三、实验内容

1.测试74LS153中一个4选1数据选择器的逻辑功能。

4个数据输入引脚C0-C3分别接实验台上的10MHZ、1MHZ、500KHZ、100KHZ 脉冲源。变化数据选择引脚A、B和使能引脚GN的电平,产生8种不同组合。观测每种组合下数据选择器的输出波形。

2.测试74LS139中一个2-4译码器的逻辑功能。

译码器的四个输出引脚Y0-Y3接电平指示灯。改变引脚G、A、B的电平,产生8种组合,观测并记录指示灯的显示状态。

四、实验提示

74LS139和74LS153中,引脚GN用于控制输出。在74LS153中,当GN为高电平时,禁止输出,输出为低电平;当GN为低电平时,允许输出,由数据选择端B、A决定C0、C1、C2、C3中的哪路数据送往输出端Y。在74LS139中,当GN为高电平时,禁止输出,所有输出Y0、Y1、Y2、Y3为高电平;当GN为高电平时,允许输出,由数据选择端B、A 决定输出Y0、Y1、Y2、Y3中的哪路数据为低电平。

五、实验连线

1.74LS153实验接线图

图2.38中,K1、K2、K3是电平开关输出,请根据实验要求,完成实验内容。

2.74LS139实验接线图

图2.39中,K1、K2、K3是电平开关输出,LED0、LED1、LED2、LED3是电平指示灯。

实验六计数器实验

一、实验目的

1.掌握计数器74LS162的功能

2.掌握计数器的级联方法

3.熟悉任意模计数器的构成方法

4.熟悉数码管的使用

二、实验说明

计数器是应用较广的器件之一。它有很多型号,不同型号实现不同的功能,我们可以根据不同的需要选用。本实验选用74LS162做实验器件。74LS162是十进制BCD同步计数器。CLK是时钟输入端,上升沿触发计数触发器翻转;允许端ENP和ENT都为高电平时允许计数,允许端ENT为低电平时禁止RCO产生;同步预置端LDN加低电平时,在下一个时钟的上升沿将计数器置为预置数据端的值;清除端CLRN为同步清除,低电平有效,在下一个时钟的上升沿将计数器复位为0。74LS162的进位位RCO在计数器等于9时,RCO为高,脉宽是一个时钟周期,可用于级联。

三、实验所用器件和仪表

1.同步4位BCD计数器74LS162 2片

2.两输入四与非门74LS00 1片

3.示波器

四、实验内容

1.用1片74LS162和1片74LS00采用复位法构建一个模7计数器。用单脉冲做计数时钟,观测计数状态,并记录;用连续脉冲做计数时钟,观测并记录Q D、Q C、Q B、Q A的波形。2.用1片74LS162和1片74LS00采用置位法构建一个模7计数器。用单脉冲做计数时钟,观测计数状态,并记录;用连续脉冲做计数时钟,观测并记录Q D、Q C、Q B、Q A的波形。3.用2片74LS162和1片74LS00构建一个模60计数器。2片74LS162的Q D、Q C、Q B、Q A分别接两个数码管的D、B、C、A。用单脉冲做计数时钟,观测数码管数字的变化,检验设计和接线是否正确。

五、实验连线及实验步骤

1.复位法构成的模7计数器接线图及实验步骤

(1)复位法构成的模7计数器接线图

图中,AK1是按单脉冲按钮AK1产生的单脉冲,LED0、LED1、LED2、LED3是电平指示灯,1MHz是计数脉冲源。

(2)参照图2.40,按单脉冲按钮AK1,记录Q D、Q C、Q B、Q A值的变化。

(3)参照图2.41,观测并画出Q D、Q C、Q B、Q A的波形。

2.置位法模7计数器接线图及实验步骤

(1)置位法构成的模7计数器接线图

图中,AK1是按单脉冲按钮AK1产生的单脉冲,LED0、LED1、LED2、LED3是电平指示灯,H、L分别为高、低电平,1MHz是计数脉冲源。

(2)参照图2.42,按单脉冲按钮AK1,记录Q D、Q C、Q B、Q A值的变化。

(3)参照图2.43,观测并画出Q D、Q C、Q B、Q A的波形。

3.复位法模60计数器接线图及实验步骤

(1)复位法模60计数器接线图

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字电路与数字逻辑实验指导书

数字电路与数字逻辑 实验指导书

目录 实验一:Quartus II软件操作 (3) 实验二:数据选择器和译码器功能验证 (14) 实验三:数据选择器和译码器应用 (17) 实验四:触发器的应用 (19) 实验五:计数器的功能验证 (21) 实验六:计数器的应用 (22) 实验七:寄存器的功能验证 (23) 附录: (24)

实验一:Quartus II软件操作 实验目的和要求: 1、了解并掌握QuartusII软件的使用方法。 2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。 3、了解并掌握EDA QuartusII中的原理图设计方法。 实验内容: 本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。 图形编辑输入法也称为原理图输入设计法。用Quartus II的原理图输入设计法进行数字系统设计时,不需要了解任何硬件描述语言知识,只要掌握数字逻辑电路基本知识,就能使用QuartusII提供的EDA平台设计数字电路或系统。 QuartusII的原理图输入设计法可以与传统的数字电路设计法接轨,即把传统方法得到的设计电路的原理图,用EDA平台完成设计电路的输入、仿真验证和综合,最后编程下载到可编程逻辑器件(FPGA/CPLD)或专用集成电路(ASIC)中。实验步骤: 在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。 第1步:打开QuartusII软件。 第2步:新建一个空项目。 选择菜单File->New Project Wizard,进入新建项目向导。如下图所示,填入项目的名称“hadder”,默认项目保存路径在Quartus安装下,也可修改为其他地址,视具体情况而定。

16学时数字逻辑实验要求及芯片引脚图

16学时数字逻辑实验内容及要求(附录:实验用IC器件引脚图) 实验一组合逻辑及应用电路实验 1.实验目的: (1)了解并掌握基本逻辑门电路及常用组合逻辑部件的逻辑功能; (2)熟悉基本逻辑门及常用组合逻辑部件的应用; (3)学习并掌握数字逻辑实验台的使用方法。 2.实验所用器件: 四二输入端与非门,型号为:74LS00 四异或门,型号为:74LS86 双2-4线译码器74LS139 等(根据实际使用填写) 3.实验内容及要求 (1)用实验验证74LS86的逻辑功能并填写真值表。 (2)用一片74LS00实现一2输入端异或门的功能。 (3)将74LS139扩展成3-8线译码器的功能。 (4)在第(3)步的基础上再加上与非门构成一位全加器。 实验二触发器功能及应用电路实验 1.实验目的 (1)熟悉常用触发器的功能及功能互换; (2)熟悉时序逻辑电路的状态分析方法; (3)触发器的简单应用电路实验分析; (4)实验观察时序逻辑电路的初始状态对电路工作的影响; (5)了解时序逻辑电路自启动的意义。 2.实验所用器件 D触发器二片,型号为:74LS74 与非门一片,型号为:74LS00 等(根据实际使用填写) 3.实验内容及要求 (1)验证74LS74的逻辑功能,填写功能表,注意观察上升沿触发方式; (2)用D触发器和门电路模拟实现JK触发器功能并填写其功能表; (3)用D触发器和门电路模拟实现T触发器功能并填写其功能表; (4)由D触发器及门电路构成有用的四位环型计数器,实验观察并记录电路运行状态。

实验三时序电路功能组件及应用电路实验 1.实验目的 (1)熟悉中规模集成移位寄存器74LS194的逻辑功能及简单应用; (2)熟悉中规模集成计数器74LS161功能及简单应用; (3)学会使用七段字形译码器及共阴极七段LED数字显示器。 2.实验所用器件 四位二进制加法计数器1片,型号为:74LS161 寄存器1片,型号为:74LS194 等(根据实际使用填写) 3.实验内容及要求 (1)验证寄存器(74LS194)、计数器(74LS161)的逻辑功能,通过实验填写功能表;(2)用74LS161及门电路分别采用复位法和置数法构成一位8421BCD码计数显示电路;(3)用74LS194及门电路构成有用的四位环型计数器。 实验四串行加法器的设计 1.实验目的 较复杂数字逻辑电路的设计方法及实验分析。 2.实验所用器件 4位移位寄存器组件2片,型号为:74LS194 D触发器1片,型号为:74LS74 等(根据实际使用填写) 3.实验内容及要求 (1)按如下串行加法器框图设计电路图实现四位二进制的加法; 4位被加数移位寄存器 为了清楚地看到逐位相加情况,时钟脉冲应采用单脉冲,注意电路清“0”作用。 (2)任意给定X,Y,给电路加入4个单脉冲,逐一观察并记录电路工作情况; (3)4个脉冲后,X+Y的和存放在A中,X+Y的最高位即进位存放在何处。串行加法器的加法速度如何计算。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

10级《数字逻辑电路》实验指导书

课程名称:数字逻辑电路实验 指导书 课时:8学时

集成电路芯片 一、简介 数字电路实验中所用到的集成芯片都是双列直插式的,其引脚排列规则如图1-1所示。识别方法是:正对集成电路型号(如74LS20)或看标记(左边的缺口或小圆点标记),从左下角开始按逆时针方向以1,2,3,…依次排列到最后一脚(在左上角)。在标准形TTL集成电路中,电源端V 一般排在左上端,接地 CC ,7脚为GND。若集端GND一般排在右下端。如74LS20为14脚芯片,14脚为V CC 成芯片引脚上的功能标号为NC,则表示该引脚为空脚,与内部电路不连接。 二、TTL集成电路使用规则 1、接插集成块时,要认清定位标记,不得插反。 2、电源电压使用范围为+4.5V~+5.5V之间,实验中要求使用Vcc=+5V。电源极性绝对不允许接错。 3、闲置输入端处理方法 (1) 悬空,相当于正逻辑“1”,对于一般小规模集成电路的数据输入端,实验时允许悬空处理。但易受外界干扰,导致电路的逻辑功能不正常。因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。 (也可以串入一只1~10KΩ的固定电阻)或接至某一 (2) 直接接电源电压V CC 固定电压(+2.4≤V≤4.5V)的电源上,或与输入端为接地的多余与非门的输出端相接。 (3) 若前级驱动能力允许,可以与使用的输入端并联。 4、输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态。当R ≤680Ω时,输入端相当于逻辑“0”;当R≥4.7 KΩ时,输入端相当于逻辑“1”。对于不同系列的器件,要求的阻值不同。 5、输出端不允许并联使用(集电极开路门(OC)和三态输出门电路(3S)除外)。否则不仅会使电路逻辑功能混乱,并会导致器件损坏。 6、输出端不允许直接接地或直接接+5V电源,否则将损坏器件,有时为了使后级电路获得较高的输出电平,允许输出端通过电阻R接至V ,一般取R=3~ cc 5.1 KΩ。

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

数字逻辑电路实验报告

. .. 数字逻辑电路设计 --多功能数字钟 学院:计算机科学与通信工程 专业: : 学号: 指导老师:

多功能数字钟 一、设计任务及要求 (1)拥有正常的时、分、秒计时功能。 (2)能利用实验板上的按键实现校时、校分及清零功能。 (3)能利用实验板上的扬声器做整点报时。 (4)闹钟功能 (5)在MAXPLUS II 中采用层次化设计方法进行设计。 (6)在完成全部电路设计后在实验板上下载,验证设计课题的正确性。 二、多功能数字钟的总体设计和顶层原理图 作为根据总体设计框图,可以将整个系统分为六个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。

(1)计时模块 该模块使用74LS160构成的一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制计数器用于计时,六十进制计数器用于计分和秒。只要给秒计数器一个1HZ的时钟脉冲,则可以进行正常计时。分计数器以秒计数器的进位作为计数脉冲。 用两个74160连成24进制的计数器,原图及生成的器件如下: 生成的二十四进制计数器注: 利用使能端,时钟信号,清零以及预置数功能连成24进制。

用两个74160连成的60进制计数器,原图及生成的器件如下: 生成的六十进制计数器 (2)校时模块 校时模块设计要求实现校时,校分以及清零功能。 *按下校时键,小时计数器迅速递增以调至所需要的小时位。 *按下校分键,分计数器迅速递增以调至所需要的分位。 *按下清零键,将秒计数器清零。 注意事项:①在校分时,分计数器的计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号以防止小时计数器计数。 ②利用D触发器进行按键抖动的消除,因为D触发器是边沿触发,在除去时钟边沿到来前一瞬间之外的绝大部分时间都不接受输入,

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字逻辑实验报告(数字时钟设计)

数字逻辑实验报告

实验三、综合实验电路 一、实验目的: 通过一个综合性实验项目的设计与实现,进一步加深理论教学与实验软硬件平台的实践训练,为设计性实验做好充分准备。 二、实验原理: 根据要求的简单设计性的电路设计实验,应用基本器件与MSI按照电路设计步骤搭建出初级电路;设计型、综合型的较复杂实验电路 三、实验设备与器件: 主机与实验箱 四、实验内容: (1)实验任务:根据所学习的器件,按照电路开发步骤搭建一个时钟, 要求实现的基本功能有计时功能、校对时间功能、整点报时、秒表等功能。 (2)实验任务分析:完成该数字时钟,采用同步时序电路,对于计时 的的功能,由于时间的秒分时的进位分别是60、60、24,所以可以应用74LS163计数器分别设计2个模60计数器以及一个模24计数器,那么需要有7个秒输出,7个分输出,6个小时的输出;对于校对时间的功能,由74LS163的特性可知,当该器件处于工作状态时,每来一个CLK脉冲,计数值加1,所以可以手动控制给CLK脉冲,来进行时间的校对;对于整点报时功能,可以采用一个比较电路,当时间的分秒数值全部为零时,那么此时可以接通报时装置,可以在电路中设置报时的的时间;对于秒表功能,有两种方案,可以单独重新设计一个秒表装置,采用模100计数器以及两个模60计数器,可以进行优化,使用原先的两个模60计数器,这样可以简化电路,是电路简洁。 (3)实验设计流程:

(4)输入输出表: (5)各个功能模块的实现: A、计时功能模块的实现(电路图及说明)秒表部分及说明

说明:该部分是实现功能正常计时中的秒部分的计时工作。如图所示,图中采用两个74LS163来做一个模60计时器,计数的起止范围是0~59,(第一个74LS163采用模10计数,起止为0~9,第二个74LS163的计数起止范围是0~5),两个器件采用级联方式,用预置位方法实现跳转;该部分有7个秒输出,接到BCD译码显示器。 注解:第一个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平VCC 第二个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平第一个163的预置位段 分钟部分以及说明:

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

数字逻辑实验指导书

数字电路是一门对实践性要求很强的专业课程,数字电路实验是一门验证 理论、巩固所学知识、根据所学知识进行简单应用的课程。实验操作有助于对 课程理论的掌握和理解,要求学生完成本课程后,能基本上验证基本数字逻辑 电路及器件的功能,能够独立的分析和设计基本的电路。为了实现这一目的, 要求在课程学习期间完成6-8个实验,实验应与课堂教学同步完成,具体内容 和要求见正文。 为了突出软件学院的特点,我院学生实验以虚拟实验为主,实施电路实验 采用实验室开放验证的方式。使用的虚拟实验软件是海军航空工程学院青岛分 院开发的《电工电子网上虚拟实验室》。 在整编本讲义过程中,得到了杨发宝、杨建庭等老师的多处指正,但是由 于时间仓促的原因,本实验讲义还是较为粗糙,在科学性、内容、文字等方面 还有诸多不够完善之处,请读者在使用过程中指出,以便在下次印刷时更正。 参考资料: 《数字电子技术基础(第四版)》高等教育出版社阎石 《数字逻辑 PPT课件》西安交通大学毛文林 《电工电子网上虚拟实验室》海军航空工程学院青岛学院 冷洪勇 2006.3.28

实验一基本逻辑门电路的逻辑功能测试------------------------------3 实验二组合逻辑电路的分析与设计----------------------------------6 实验三集成触发器------------------------------------------------9 实验四计数译码显示电路------------------------------------------13 实验五数据选择器------------------------------------------------18 实验六自激多谐振荡器--------------------------------------------20 实验七单稳与史密特触发器----------------------------------------23 实验八数/模模/数转换------------------------------------------29 实验九 555型集成时基电路----------------------------------------33 附录一数字电路仿真实验环境的操作指南----------------------------38 附录二实验使用相关芯片管脚定义图及功能真值表--------------------41

相关主题
文本预览
相关文档 最新文档