当前位置:文档之家› 哈工大计算机组成大作业完整版

哈工大计算机组成大作业完整版

哈工大计算机组成大作业完整版
哈工大计算机组成大作业完整版

哈工大计算机组成大作业

哈工大计算机组成原理自主实验

计算机组成原理自主实验报告

第四章‐实验1

一个2114 存储芯片的实现

要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。

A0-A9:地址线

I/O:数据输入输出线

CS:片选信号

R/W:读写信号

VHDL代码:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity shiyan41 is

PORT(clk, we, cs,reset: in STD_LOGIC;

data: inout STD_LOGIC_VECTOR(3 downto 0);

adr: in STD_LOGIC_VECTOR(9 downto 0));

end shiyan41;

architecture Behavioral of shiyan41 is

typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0);

signaldata_out: STD_LOGIC_VECTOR(3 downto 0);

signalsram : mem;

signalcs_s : std_logic;

signalwe_s : std_logic;

signaladdr_in_row: std_logic_vector(5 downto 0);

signaladdr_in_col: std_logic_vector(3 downto 0);

begin

cs_s

we_s

addr_in_row

addr_in_col

process(clk)

begin

ifclk'event and clk='1' then

if(cs_s='0' and we_s='0') then

sram(conv_integer(addr_in_row))(conv_integer(addr_in_col)) sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 16) end if;

end process;

process(clk,reset)

begin

if reset = '1' then

data_out '0');

elsifclk'event and clk='1' then

ifcs='0' and we='1' then

data_out

sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 48) &sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 32) &sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 16) &sram(conv_integer(addr_in_row))(conv_integer(addr_in_col)); end if;

end if;

end process;

data_in

data 'Z');

end Behavioral;

仿真结果:

写操作:

读操作:

仿真分析:

在进行写操作时,片选信号低电平有效,we为0,在地址000001000存入0001;在进行读操作时,片选信号低电平有效,we为1,读出地址000001000中存入的数0001.

实际框图:

第五章‐实验(5%)

简单程序中断方式接口电路的实现

要求:按照P198,图5.41 实现一个简单的程序中断方式接口电路。

VHDL代码:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

entity shiyan5 is

Port ( start : in STD_LOGIC;

stop : in STD_LOGIC;

mask : in STD_LOGIC_VECTOR (3 downto 0);

B :inout STD_LOGIC;

D :inout STD_LOGIC;

address : out STD_LOGIC_VECTOR (3 downto 0); INTA : in STD_LOGIC;

INTR :inout STD_LOGIC_VECTOR (3 downto 0); INTP :inout STD_LOGIC_VECTOR (3 downto 0)); end shiyan5;

architecture Behavioral of shiyan5 is

begin

process(start,stop,mask)

begin

if(start='1') then

B

D

end if;

if(start='0' and stop='1') then B

D

end if;

if(D='1') then

if(mask(3)='0') then

INTR(3)

else

INTR(3)

end if;

if(mask(2)='0') then

INTR(2)

else

INTR(2)

end if;

if(mask(1)='0') then INTR(1)

else

INTR(1)

end if;

if(mask(0)='0') then INTR(0)

else

INTR(0)

end if;

end if;

if(start='1') then B

D

end if;

end process;

process(INTR,INTA) begin

if(INTR(3)='1') then INTP(3)

else

INTP(3)

if(INTR(2)='1') then INTP(2)

else

INTP(2)

if(INTR(1)='1') then INTP(1)

INTP(1)

if(INTR(0)='1') then INTP(0)

else

INTP(0)

end if;

end if;

end if;

end if;

if(INTA='1') then address

else

address

end if;

end process;

end Behavioral;

仿真结果:

实验5分析:

分为两个部分,第一部分实现的是当CPU发出start命令时,b置为0,d置为1,在start为1时,表示未启动,b为1,d为0。中断源的中断请求INTR根据mask 改变(mask为1表示屏蔽,屏蔽intr则为0);第二部分实现的是在第一部分的d为1时实现的链式排队器,优先选择优先级高的中断源INTR,得出排队器输出INTP,当cpu发出中断响应INTA后,由设备编码器,可得出向量地址address 的值。

文档下载网是专业的免费文档搜索与下载网站,提供行业资料,考试资料,教学课件,学术论文,技术资料,研究报告,工作范文,资格

考试,word文档,专业文献,应用文书,行业论文等文档搜索与文档下载,是您文档写作和查找参考资料的必备网站。

哈工大机械设计大作业V带传动设计完美版

哈工大机械设计大作业V带传动设计完美版

————————————————————————————————作者:————————————————————————————————日期: ?

Harbin Instituteof Technology 机械设计大作业说明书 大作业名称:机械设计大作业 设计题目:V带传动设计 班级: 设计者: 学号: 指导教师: 设计时间: 2014.10.25 哈尔滨工业大学

目录 一、大作业任务书 ........................................................................................................................... 1 二、电动机的选择 ........................................................................................................................... 1 三、确定设计功率d P ..................................................................................................................... 2 四、选择带的型号 ........................................................................................................................... 2 五、确定带轮的基准直径1d d 和2d d ............................................................................................. 2 六、验算带的速度 ........................................................................................................................... 2 七、确定中心距a 和V 带基准长度d L ......................................................................................... 2 八、计算小轮包角 ........................................................................................................................... 3 九、确定V 带根数Z ........................................................................................................................ 3 十、确定初拉力0F ......................................................................................................................... 3 十一、计算作用在轴上的压力 ....................................................................................................... 4 十二、小V 带轮设计 .. (4) 1、带轮材料选择 ............................................................................................................. 4 2、带轮结构形式 . (4) 十二、参考文献 ............................................................................................................................... 6 ?

哈工大机器人技术课程总结

第一章绪论 1. 机器人学(Robotics)它包括有基础研究和应用研究两个方面,主要研究内容有:(1) 机械手设计;(2) 机器人运动学、动力学和控制;(3) 轨迹设计和路径规划;(4) 传感器(包括内部传感器和外部传感器);(5) 机器人视觉;(6) 机器人语言;(7) 装置与系统结构;(8) 机器人智能等。 2. 机器人学三原则:(1)机器人不得伤害人(2)机器人应执行人们的命令,除非这些命令与第一原则相矛盾(3)机器人应能保护自己的生存,只要这种保护行为不与第一第二原则相矛盾。 3. 6种型式的机器人: (1) 手动操纵器:人操纵的机械手,缺乏独立性; (2) 固定程序机器人:缺乏通用性; (3) 可编程机器人:非伺服控制; (4) 示教再现机器人:通用工业机器人; (5) 数控机器人:由计算机控制的机器人; (6) 智能机器人:具有智能行为的自律型机器人。 4. 按以下特征来描述机器人: (1)机器人的动作机构具有类似于人或其他生物体某些器官 ( 如肢体、感官等 ) 的功能; (2)机器人具有通用性,工作种类多样,动作程序灵活易变,是柔性加工主要组成部分; (3)机器人具有不同程度的智能,如记忆、感知、推理、决策、学习等;(4)机器人具有独立性,完整的机器人系统,在工作中可以不依赖于人的干预。 5. 机器人主要由执行机构、驱动和传动装置、传感器和控制器四大部分构成 6. 控制方式主要有示教再现、可编程控制、遥控和自主控制等多种方式。 7. 示教-再现即分为示教-存储-再现-操作四步进行。 8. 控制信息顺序信息:位置信息:时间信息: 9. 位置控制点位控制-PTP(Point to Point): 连续路径控制-CP(Continuous Path): 10. 操纵机器人可分为两种类型:能力扩大式机器人:遥控机器人: 11. 第三代智能机器人应具备以下四种机能:运动机能感知机能: 思维能力:人-机对话机能: 智能机器人是一种“认知-适应"的工作方式。 12.目前我国机器人的发展正朝着实用化、智能化和特种机器人的方向发展。

哈工大机械设计大作业轴系

HarbinI n s t i tut e o fTech n o logy 机械设计大作业说明书大作业名称:轴系设计 设计题目: 5.1.5 班级:1208105 设计者: 学号: 指导教师: 张锋 设计时间:2014.12.03 哈尔滨工业大学

哈尔滨工业大学 机械设计作业任务书 题目___轴系部件设计____ 设计原始数据: 方案电动机 工作功 率P/k W 电动机满 载转速n m /(r/min) 工作机的 转速n w /(r/min) 第一级 传动比 i1 轴承座 中心高 度 H/mm 最短工 作年限 工作环 境 5.1.5 3 710 80 2 170 3年3 班 室内清 洁 目录 一、选择轴的材料 (1) 二、初算轴径 (1) 三、轴承部件结构设计 (1) 3.1轴向固定方式 (2) 3.2选择滚动轴承类型 (2) 3.3键连接设计 (2) 3.4阶梯轴各部分直径确定 (2) 3.5阶梯轴各部段长度及跨距的确定 (2) 四、轴的受力分析 (3) 4.1画轴的受力简图 (3) 4.2计算支反力 (3) 4.3画弯矩图 (3) 4.4画转矩图 (5) 五、校核轴的弯扭合成强度 (5)

六、轴的安全系数校核计算………………………………………………6 七、键的强度校核 (7) 八、校核轴承寿命 (8) 九、轴上其他零件设计 (9) 十、轴承座结构设计 (9) 十一、轴承端盖(透盖).........................................................9参考文献 (10)

一、选择轴的材料 该传动机所传递的功率属于中小型功率,因此轴所承受的扭矩不大。故选45号钢,并进行调质处理。 二、初算轴径 对于转轴,按扭转强度初算直径 3min m P d C n ≥ 式中: P ————轴传递的功率,KW ; m n ————轴的转速,r/mi n; C————由许用扭转剪应力确定的系数,查各种机械设计教材或机械设计手册。 根据参考文献1表9.4查得C=118~106,取C=118, 所以, mm n P C d 6.23355 85.211833==≥ 本方案中,轴颈上有一个键槽,应将轴径增大5%,即 ????d ≥23.6×(1+5%)=24.675mm 按照GB 2822-2005的a R 20系列圆整,取d=25mm。 根据GB/T1096—2003,键的公称尺寸78?=?h b ,轮毂上键槽的尺寸 b=8m m,mm t 2.0013.3+= 三、轴承部件结构设计 由于本设计中的轴需要安装带轮、齿轮、轴承等不同的零件,并且各处受力不同,因此,设计成阶梯轴形式,共分为七段。以下是轴段的草图: 3.1及轴向固定方式 因传递功率小,齿轮减速器效率高、发热小,估计轴不会长,故轴承部件的固定方式可采用两端固定方式。因此,所涉及的轴承部件的结构型式如图2所示。然后,可按轴上零件的安装顺序,从min d 处开始设计。 3.2选择滚动轴承类型 因轴承所受轴向力很小,选用深沟球轴承,因为齿轮的线速度,齿轮转动时飞溅的润滑油不足于润滑轴承,采用油脂对轴承润滑,由于该减速器的工作环境清 洁,脂润滑,密封处轴颈的线速度较低,故滚动轴承采用毡圈密封,由于是悬臂布置所以不用轴上安置挡油板。 3.3 键连接设计 轴段⑦ 轴段⑥ 轴段⑤ 轴段④ 轴段③ 轴段② 轴段① L1 L2 L3 图1

机器人大作业

IRB1600型机器人的运动学分析及仿真

目录 1.引言................................................................................................................ - 2 - 1.1 ABB公司简介.................................................................................... - 3 - 1.2ABB发展历史 .................................................................................... - 4 - 2. IRB1600 ........................................................................................................ - 5 - 2.1 IRB1600的资料................................................................................. - 6 - 2.2建立基于D-H方法的连杆坐标系 ................................................... - 8 - 2.3建立六自由度点焊机器人的运动学方程....................................... - 10 - 3. 虚拟样机的建立........................................................................................ - 12 - 3.1 导入.................................................................................................. - 12 - 3.2 添加约束副...................................................................................... - 13 - 3.3 基于ADAMS的机器人运动学仿真 ............................................. - 14 - 4. 结语............................................................................................................ - 18 - 5. 参考资料.................................................................................................... - 19 -

哈工大机械设计大作业一千斤顶

Harbin Institute of Technology 哈尔滨工业大学 机械设计作业设计计算说明书 题目:设计螺旋起重器(千斤顶) 系别: 班号: 姓名: 日期:

Harbin Institute of Technology 哈尔滨工业大学 机械设计作业任务书 题目:设计螺旋起重器 设计原始数据:题号3.1.1 起重量Fq=30 kN 最大起重高度H=180mm

一 选择螺杆、螺母的材料 螺杆采用45#调制钢,由参考文献[2]表10.2查得抗拉强度b 600 MPa σ=,s 355 MPa σ=。 螺母材料用铝黄铜ZCuAl10Fe3。 二 耐磨性计算 螺杆选用45# 钢,螺母选用铸造铝黄铜ZCuAl10Fe3,由参考文献[1]表 5.8 查得[]p =18~25MPa 从表 5.8 的注释中可以查得,人力驱动时[]p 值可以加大20%,则[]p =21.6~30MPa 取[]25MPa p = 。 按耐磨性条件设计螺纹中径2d ,选用梯形螺纹,则 2d ≥ 由参考文献[1]查得,对于整体式螺母系数2ψ==1.2—2.5,取2ψ=。 则 式中:Q F -----轴向载荷,N ; 2d -----螺纹中径,mm ; []p -----许用压强,MPa ; 查参考文献[2]表11.5取公称直径28d =mm ,螺距3P =mm ,中径226.5d =mm ,小径 324.5d =mm ,内螺纹大径428.5D =mm 。 三 螺杆强度校核 螺杆危险截面的强度条件为: 219.6d mm ≥==

e []σσ=≤ (2) 式中:Q F -----轴向载荷,N ; 3d -----螺纹小径,mm ; 1T -----螺纹副摩擦力矩,2 1tan(') 2Q d T F ψρ=+ (3) ψ为螺纹升角,ψ ; []σ-----螺杆材料的许用应力,MPa 。 查参考文献[1]表5.10得钢对青铜的当量摩擦因数'0.08~0.10f =,螺纹副当量摩擦角 'arctan 'arctan 0.08~arctan 0.10 4.5739~5.7106f ρ===,取'5.7106ρ=(由表5.10的注 释知,大值用于启动时,人力驱动属于间歇式,故应取用大值)。把数据代入(3)式中,得 把数据代入(2)式中,得 由参考文献[1]表5.9可以查得螺杆材料的许用应力 s []4σ σ= (4) 其中s 355 MPa σ=,则 []88.75a MP σ= 显然,e []σσ<,螺杆满足强度条件。 四 螺母螺牙强度校核 螺母螺纹牙根部的剪切强度条件为 4[]Q F Z D b ττπ= ≤ (5) 式中:Q F -----轴向载荷,N ; 4D -----螺母螺纹大径,mm ; 126.5 30000tan(2.0637 5.1427)502612T N mm =??+?= ?70.4e MPa σ==

哈尔滨工业大学机械设计大作业_带传动电算

H a r b i n I n s t i t u t e o f T e c h n o l o g y 上机电算说明书 课程名称:机械设计 电算题目:普通V带传动 院系:机电工程学院 班级: 设计者: 学号: 指导教师: 设计时间:2015.11.11-2015.12.1 哈尔滨工业大学

目录 一、普通V带传动的内容 (1) 二、变量标识符 (1) 三、程序框图 (2) 四、V带设计C程序 (3) 五、程序运行截图 (10) 参考文献 (11)

一、普通V带传动的内容 给定原始数据:传递的功率P,小带轮转速n1 传动比i及工作条件 设计内容:带型号,基准长度Ld,根数Z,传动中心距a,带轮基准直径dd1、dd2,带轮轮缘宽度B,初拉力F0和压轴力Q。 二、变量标识符 为了使程序具有较好的可读性易用性,应采用统一的变量标识符,如表1所示。表1变量标识符表。 表1 变量标识符表

三、程序框图

四、V带设计c程序 #include #include #include #define PAI 3.14 int TYPE_TO_NUM(char type); /*将输入的字符(不论大小写)转换为数字方便使用*/ float Select_Ki(float i); /*查表选择Ki的程序*/ float Select_KA(float H,int GZJ,int YDJ); /*查表选择KA的程序*/ float Select_KL(float Ld,int TYPE); /*查表选择KL的程序*/ float Select_M(int TYPE); /*查表选择m的程序*/ float Select_dd1(int TYPE); /*查表选择小轮基准直径dd1的程序*/ float Select_dd2(int dd1,float i); /*查表选择大轮直径dd2的程序*/ float Compute_P0(float V,int TYPE,float dd1); /*计算P0的程序*/ float Compute_DIFP0(int TYPE,float Ki,int n1); /*计算DIFP0的程序*/ float Compute_VMAX(int TYPE); /*计算VMAX的程序*/ float Compute_KALF(float ALF1); /*计算KALF的程序*/ float Compute_B(int TYPE,int z); /*计算带宽B的程序*/ float* Compute_LAK(float dd1,float dd2,int TYPE); /*计算Ld,a,KL的程序*/ main() { float P,H,i,n1,KA,Ki,dd1,dd2,V,P0,DIFP0,Pd,VMAX,*LAK,m,Ld,KALF,a,KL,z,F0,ALF1, Q,B; int YDJ,GZJ,TYPE,ANS; char type,ans; printf(" V带传动设计程序\n"); printf(" 程序设计人:×××\n 班号:123456678\n 学号:1234567896\n"); START: printf("请输入原始参数:\n"); printf("传递功率P(KW):"); scanf("%f",&P); printf("小带轮转速n1(r/min):"); scanf("%f",&n1); printf("传动比i:"); scanf("%f",&i); printf("每天工作时间H(h):"); scanf("%f",&H); printf("原动机类型(1或2):"); scanf("%d",&YDJ); printf("工作机载荷类型:\n1,载荷平稳\n2,载荷变动较小\n3,载荷变动较大\n4,载荷变动很大\n"); scanf("%d",&GZJ);

机器人视觉大作业

机器人视觉论文 论文题目:基于opencv的手势识别院系:信息科学与工程学院 专业:信号与信息处理 姓名:孙竟豪 学号:21160211123

摘要 文中介绍了一种易于实现的快速实时手势识别算法。研究借助计算机视觉库OpenCV和微软Visual Studio 2008 搭建开发平台,通过视频方式实时提取人的手势信息,进而经二值化、膨胀腐蚀、轮廓提取、区域分割等图像处理流程甄别出当前手势中张开的手指,识别手势特征,提取出人手所包含的特定信息,并最终将手势信息作为控制仪器设备的操作指令,控制相关设备仪器。 0、引言 随着现代科技的高速发展及生活方式的转变,人们越发追求生活、工作中的智能化,希望享有简便、高效、人性化的智能操作控制方式。而伴随计算机的微型化,人机交互需求越来越高,人机友好交互也日益成为研发的热点。目前,人们已不仅仅满足按键式的操作控制,其目光已转向利用人体动作、表情变化等更加方便、友好、直观地应用智能化交互控制体系方面。近年来,国内外科学家在手势识别领域有了突破性进展。1993 年B.Thamas等人最先提出借助数据手套或在人手粘贴特殊颜色的辅助标记来进行手势动作的识别,由此开启了人们对手势识别领域的探索。随后,手势识别研究成果和各种方式的识别方法也纷然出现。从基于方向直方图的手势识别到复杂背景手势目标的捕获与识别,再到基于立体视觉的自然手势识别,每次探索都是手势识别领域内的重大突破。 1 手势识别流程及关键技术 本文将介绍一种基于 OpenCV 的实时手势识别算法,该算法是在现有手势识别技术基础上通过解决手心追踪定位问题来实现手势识别的实时性和高效性。 基于 OpenCV 的手势识别流程如图 1 所示。首先通过视频流采集实时手势图像,而后进行包括图像增强、图像锐化在内的图像预处理,目的是提高图像清晰度并明晰轮廓边缘。根据肤色在 YCrCb 色彩空间中的自适应阈值对图像进行二值化处理,提取图像中所有的肤色以及类肤色像素点,而后经过膨胀、腐蚀、图像平滑处理后,祛除小块的类肤色区域干扰,得到若干块面积较大的肤色区域; 此时根据各个肤色区域的轮廓特征进行甄选,获取目标手势区域,而后根据目标区域的特征进行识别,确定当前手势,获取手势信息。

计算机组成原理第二章习题哈工大

计算机组成原理第二章习题 1.以真空管为主要器件的是______。 A. 第一代计算机 B. 第二代计算机 C. 第三代计算机 D. 第四代计算机 2.所谓第二代计算机是以______为主要器件。 A. 超大规模集成电路 B. 集成电路 C. 晶体管 D. 电子管 3.第三代计算机是以______为主要器件。 A. 超大规模集成电路 B. 集成电路 C. 晶体管 D. 电子管 4.ENIAC用的主要元件的是______。 A. 集成电路 B. 晶体管 C. 电子管 D. 以上都不对 5.目前被广泛使用的计算机是______。 A. 数字计算机 B. 模拟计算机 C. 数字模拟混合式计算机 D. 特殊用途的计算机 6.个人计算机(PC)属于______类计算机。 A. 大型机 B. 小型机 C. 微型机 D. 超级计算机 7.通常计算机的更新换代以______为依据。 A. 电子器件 B. 电子管 C. 半导体 D. 延迟线

8.目前大多数集成电路生产中,所采用的基本材料为______。 A. 单晶硅 B. 非晶硅 C. 锑化钼 D. 硫化镉 9.计算机科技文献中,英文缩写CAD代表______。 A. 计算机辅助制造 B. 计算机辅助教学 C. 计算机辅助设计 D. 计算机辅助管理 10.邮局把信件进行自动分拣,使用的计算机技术是______。 A. 机器翻译 B. 自然语言理解 C. 机器证明 D. 模式识别 11.微型计算机的发展通常以______为技术标志。 A. 操作系统 B. 磁盘 C. 软件 D. 微处理器 12.目前我们所说的个人台式商用机属于______。 A.巨型机 B.中型机 C.小型机 D.微型机 13. 电子邮件是指______。 A. 用计算机管理邮政信件 B. 通过计算机网络收发消息 C. 用计算机管理电话系统 D. 用计算机处理收发报业务

哈工大机械制造大作业

哈工大机械制造大作业

一、零件分析 题目所给的零件是CA6140车床的拨叉。它位于车床变速机构中,主要起换档,使主轴回转运动按照操作者的要求工作,获得所需的速度和扭矩的作用。零件上方的孔与操纵机构相连,二下方的Φ55叉口则是用于与所控制齿轮所在的轴接触,拨动下方的齿轮变速。 其生产纲领为批量生产,且为中批生产。

图1-1 CA6140拨叉零件图 二、零件的工艺分析 零件材料采用HT200,加工性能一般,在铸造毛坯完成后,需进行机械加工,以下是拨叉需要加工的表面以及加工表面之间的位置要求:

1、小头孔Φ25:该加工面为内圆面,其尺寸精度要求为; 2、叉口半圆孔Φ55:该加工面为内圆面,其尺寸精度要求为; 3、拨叉左端面:该加工面为平面,其表面粗糙度要求为,位置精度要求与内圆面圆心距离为; 4、叉口半圆孔两端面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为; 5、拨叉左端槽口,其槽口两侧面内表面为平面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为0.08mm。 6、孔圆柱外端铣削平面,加工表面是一个平面,其表面粗糙度要求为。 三、确定毛坯

1、确定毛坯种类: 零件材料为,查阅机械制造手册,有,考虑零件在机床运行过程中受冲击不大,零件结构又比较简单,故选择铸造毛坯。 图3-1 毛坯模型 2、毛坯特点: (1)性能特点: (2)结构特点:一般多设计为均匀壁厚,对于厚大断面件可采用空心结构。CA6140拨叉厚度较均匀,出现疏松和缩孔的概率低。 (3)铸造工艺参数: 铸件尺寸公差:铸件公称尺寸的两个允许极限尺寸之差成为铸件尺寸公差。成批和大量生产

哈工大机器人大作业

一、运动学正解程序及结果 1、程序: syms x1x2x3x4x5x6d1d2d4a2a3x d a Rx=[1 0 0 0;0 cos(x) -sin(x) 0;0 sin(x) cos(x) 0;0 0 0 1]; Rz=[cos(x) -sin(x) 0 0;sin(x) cos(x) 0 0;0 0 1 0;0 0 0 1]; Tx=[1 0 0 a;0 1 0 0;0 0 1 0;0 0 0 1]; Tz=[1 0 0 0;0 1 0 0;0 0 1 d;0 0 0 1]; t=pi/180; y1=90;y2=-90;y3=-90; T01=subs(Rz,x,x1)*subs(Tz,d,d1)*subs(Rx,x,y1*t); T12=subs(Rz,x,x2)*subs(Tz,d,d2)*subs(Tx,a,a2); T23=subs(Rz,x,x3)*subs(Tx,a,a3)*subs(Rx,x,y3*t); T34=subs(Rz,x,x4)*subs(Tz,d,d4)*subs(Rx,x,y4*t); T45=subs(Rz,x,x5)*subs(Rx,x,90); T=T01*T12*T23*T34*T45; t=subs(T,{y1,y3,y4,y5},[pi/2,-pi/2,-pi/2,pi/2]); t= simplify(t); nx=t(1,1);ny=t(2,1);nz=t(3,1); ox=t(1,2);oy=t(2,2);oz=t(3,2); ax=t(1,3);ay=t(2,3);az=t(3,3); px=t(1,4);py=t(2,4);pz=t(3,4); 结果: Nx=sin(x2 + x3)*cos(x1)*sin(x5) - cos(x5)*sin(x1)*sin(x4)+cos(x1)*cos(x2)*cos(x3)*cos(x4)*cos(x5) - cos(x1)*cos(x4)*cos(x5)*sin(x2)*sin(x3) Ny=cos(x1)*cos(x5)*sin(x4) + sin(x2 + x3)*sin(x1)*sin(x5)+cos(x2)*cos(x3)*cos(x4)*cos(x5)*sin(x1) - cos(x4)*cos(x5)*sin(x1)*sin(x2)*sin(x3) Nz=sin(x2 + x3)*cos(x4)*cos(x5) - cos(x2 + x3)*sin(x5) Ox=sin(x4)*(cos(x1)*sin(x2)*sin(x3) - cos(x1)*cos(x2)*cos(x3)) - cos(x4)*sin(x1) Oy=cos(x1)*cos(x4) - sin(x4)*(cos(x2)*cos(x3)*sin(x1) - sin(x1)*sin(x2)*sin(x3)) Oz=-sin(x2 + x3)*sin(x4) Ax=cos(x1)*cos(x2)*cos(x3)*cos(x4)*sin(x5) - sin(x2 + x3)*cos(x1)*cos(x5) - sin(x1)*sin(x4)*sin(x5) - cos(x1)*cos(x4)*sin(x2)*sin(x3)*sin(x5) Ay=cos(x1)*sin(x4)*sin(x5) - sin(x2 + x3)*cos(x5)*sin(x1) + cos(x2)*cos(x3)*cos(x4)*sin(x1)*sin(x5) - cos(x4)*sin(x1)*sin(x2)*sin(x3)*sin(x5)

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

哈工大光电技术基础及应用大作业

《光电技术基础及应用》大作业 (2015年春季学期) 题目激光测距原理及军事应用 姓名崔晓蒙 学号1110811005 班级1108110班 专业机械设计制造及其自动化 报告提交日期2015年4月23日 哈尔滨工业大学

大作业要求 1.请根据课堂布置的4道大作业题,任选其一,题目自拟,拒绝雷 同和抄袭; 2.大作业最好包含自己的心得、体会或意见、建议等; 3.大作业统一用该模板撰写,字数不少于5000字,上限不限; 4.正文格式:小四号字体,行距为1.25倍行距; 5.图表规范,参考文献不少于8篇; 6.用A4纸单面打印;左侧装订,1枚钉; 7.大作业需同时提交打印稿和2003word电子文档予以存档,电子文 档由班长收齐,统一发送至:j_jyq@https://www.doczj.com/doc/117547211.html,; 8.此页不得删除。 评语: 成绩(20分):教师签名: 2015年5月25日

《激光测距原理及军事应用》 摘要:本文简要介绍了脉冲激光测距原理及常见的激光测距光源,并对它们在军事上的应用作了相应的介绍。 关键词:激光测距,激光光源,军事应用 1.概述 1960年一种神奇的光诞生了,它就是激光。激光的英文名称是Laser,取自英文Light Amplification by Stimulated Emission of Radiation的各单词的头一个字母组成的缩写词。意思是“受激辐射的光放大”。由于激光在亮度、方向性、单色性以及相干性等方面都有不俗的特点,它一出现就吸引了众多科学工作者的目光,并被迅速地被应用在工业生产方面、国防军工方面、房地产业、各级科研机构、工程、防盗安全等各个行业各个领域:激光焊接、激光切割、激光打孔(包括斜孔、异孔、膏药打孔、水松纸打孔、钢板打孔、包装印刷打孔等)、激光淬火、激光热处理、激光打标、玻璃内雕、激光微调、激光光刻、激光制膜、激光薄膜加工、激光封装、激光修复电路、激光布线技术、激光清洗等。有关于激光的研究与生产制造也如火如荼地开展了起来。 激光与普通光源所发出的光相比,有显著的区别,形成差别的主要原因在于激光是利用受激辐射原理和激光腔滤波效应。而这些本质性的成因使激光具有一些独特的特点: 1.激光的亮度高。固体激光器的亮度更可高达1011W/cm2Sr这是因为激光虽然功率有限,但是由于光束极小,于是具有极高的功率密度,所以激光的亮度一般都大于我们所见所有光(包括可见光中的强者:太阳光),这也是激光可用于星际测量的根本原因所在; 2.激光的单色性好。这是因为激光的光谱频率组成单一。 3.激光的方向性好。激光具有非常小的光束发散角,经过长距离的飞行以后仍然能够保持直线传输; 4.激光的相干性好。我们通常所见到的可见光是非相干光,激光可以做到他们都做不到的事情,比如说切割钢材。 在测距领域,激光的作用更是不容忽视,可以这样说,激光测距是激光应用最早的领域(1960年产生,1962年即被应用于地球与月球间距离的测量)。测量的精确度和分辨率高、抗干扰能力强,体积小同时重量轻的激光测距仪受到了大多数有测距需求的企业、机构或个人的青睐,其市场需求空间大,应用领域广行业需求多,并且起着日益重要的作用。 激光测距是激光在军事上应用最早和最成熟的技术。自1960年第一台激光器--红宝石激光器发明以来,便有人开始进行激光测距的研究。和微波测距等其

哈工大机械设计大作业

哈尔滨工业大学 机械设计作业设计计算说明书 题目: 轴系部件设计 系别: 英才学院 班号: 1436005 姓名: 刘璐 日期: 2016.11.12

哈尔滨工业大学机械设计作业任务书 题目:轴系部件设计 设计原始数据: 图1 表 1 带式运输机中V带传动的已知数据 方案d P (KW) (/min) m n r(/min) w n r 1 i轴承座中 心高H(mm) 最短工作 年限L 工作 环境 5.1. 2 4 960 100 2 180 3年3班 室外 有尘 机器工作平稳、单向回转、成批生产

目录 一、带轮及齿轮数据 (1) 二、选择轴的材料 (1) 三、初算轴径d min (1) 四、结构设计 (2) 1. 确定轴承部件机体的结构形式及主要尺寸 (2) 2. 确定轴的轴向固定方式....................................... 错误!未定义书签。 3. 选择滚动轴承类型,并确定润滑、密封方式 .................. 错误!未定义书签。 4. 轴的结构设计................................................ 错误!未定义书签。 五、轴的受力分析 (4) 1. 画轴的受力简图 (4) 2. 计算支承反力 (4) 3. 画弯矩图 (5) 4. 画扭矩图 (5) 六、校核轴的强度 (5) 七、校核键连接的强度 (7) 八、校核轴承寿命 (8) 1. 计算轴承的轴向力 (8) 2. 计算当量动载荷 (8) 3. 校核轴承寿命 (8) 九、绘制轴系部件装配图(图纸) (9) 十、参考文献 (9)

哈工大研究生机器人技术报告DOC.doc

《机器人技术》大作业 (2015年秋季学期) 题目消防机器人发展与应用 姓名 学号 班级 专业机械设计制造及其自动化 报告提交日期2015.12.04 哈尔滨工业大学

内容及要求 1.以某种机器人(如搬运、焊接、喷漆、装配等工业机器人;服务机 器人;仿生鱼、蛇等仿生机器人;军用及其它机器人等)为例,撰写一篇大作业,题目自拟,以下内容仅作参考: 1) 机器人的机械结构设计(包括各部分名称、功能、传动等); 2) 机器人的运动学及动力学分析; 3) 机器人的控制及轨迹规划; 4) 驱动及伺服系统设计; 5) 电气控制电路图及部分控制子程序。 2.题目自拟,拒绝雷同和抄袭; 3.参考文献不少于7篇,其中至少有2篇外文文献; 4.报告统一用该模板撰写,字数不少于5000字,上限不限; 5.正文为小四号宋体,1.25倍行距;图表规范,标注为五号宋体; 6.用A4纸单面打印;左侧装订,1枚钉; 7.提交打印稿及03版word电子文档,由班长收齐。 8.此页不得删除。 评语: 成绩(20分):教师签名: 年月日

消防机器人发展与应用 一、我国消防机器人的市场需求 近年来,我国石油化工等行业有了飞速的发展和进步,生产过程中的易燃易爆和剧毒化学制品急剧增长,由于设备以及管理等方面的原因,导致化学危险品和放射性物质泄漏以及燃烧、爆炸的事故隐患越来越多。一旦事故发生,假如没有有效的方法、装备及设施,救援人员将无法进入事故现场要冒然采取行动,往往只会造成无辜生命的牺牲出惨重代价,结果仍不能达到预期目的,这方面各地消防及救援部门已有许多次血的教训。深圳清水河大爆炸、南京金陵石化火灾、北京东方化工厂罐区火灾等事件发生后,全国各地要求配备消防机器人的呼声愈来愈高。尤其是在明确公安消防部队作为处置各类化学危险品泄漏事故的主力军之后,在我国消防部门配备消防机器人的问题就显得更为迫切了。 二、国外消防机器人发展现状 国际上较早开展消防机器人研究的是美国和苏联,稍后,英国、日本、法国、德国等国家也纷纷开始研究该类技术。目前已有很多种不同功能的消防机器人用于救灾现场。日本投入应用的消防机器人最多。80年代,日本研制了不少于5种型号的自动行驶灭火机器人,分别配备于大阪、东京、高石、太田、蒲田等消防部门,这类机器人以内燃机或电动机作为动力,配置驱动轮或履带式行驶机构,能爬坡、越障碍;装有较大喷射流量的消防枪炮,能作俯仰和左右回转;装有气体检测仪器和电视监视设备;通过电缆或无线控制,控制距离最大为100m。另一类机器人为侦察、抢险机器人,除装有气体检测仪器和电视监视器设备外,还装有机械手,能通过遥控处理危险物品。 美国已研制出能依靠感觉信息控制的救灾智能化机器人,如1994年用于探测阿拉斯加州斯拍活火山的“但丁2号”,抓获杀人犯的RM 1一9型遥控消防机器人等。亚利桑那州消防部门研制的消防机器人,装有破拆工具和消防水枪,能一边破拆,一边喷射灭火。 英国智能化保安公司生产的RO一VEH遥控消防车已装备于中部和西部消防部门,配置为履带式或轮式行驶机构,能爬楼梯,通过电缆供电或自携蓄电池供电。装有消防水炮、摄像机或热像仪。采用有线控制方式。1985年英国中西部消防部门和Firma SAS公司联合研制的机器人消防车,用HunterIII汽车改装而成,装有双臂、水枪、探测器(温度、化学物质、辐射等)、工业电视摄像机、红外线装置。机械手用来启闭阀门、搬移物品或开门等。 国际上对消防机器人的研究可分为三个阶段(三代),第一代是程序控制消防

2019年哈工大计算机基础考生大纲

2019年硕士研究生入学考试大纲 考试科目名称:计算机基础考试科目代码:[854] 本考试科目考试时间180分钟,满分150分。包括数据结构与计算机组成原理两部分,每部分各75分。 数据结构部分(75分) 一、考试要求 1. 要求考生全面系统地掌握数据结构与算法的基本概念、数据的逻辑结构和 存储结构及操作算法,并能灵活运用;能够利用数据结构和算法的基本知识,为应用问题设计有效的数据结构和算法;能够分析算法的复杂性。 2. 要求能够用C/C++/Java等程序设计语言描述数据结构和算法。 注:考试内容范围主要以参考书目1为标准,带*号部分不在考试范围之内。 二、考试内容 1)数据结构与算法的概念 a:数据结构与算法及其相关的基本概念 b: 算法及其复杂性分析 2)线性表 a:线性结构及其操作算法 b: 线性表的应用及算法 3)树与二叉树 a:二叉树的定义、性质、表示、遍历算法 b: 树的表示、操作算法 c: 森林与二叉树关系 d: 树与二叉树的应用及算法 4)图及其相关算法 a:图的相关概念 b: 图的存储结构与搜索算法 c: 图的应用及算法 5)查找与排序

a:查找与排序的相关概念 b:典型算法的描述及复杂性分析 c: 查找与排序算法的应用 6)外部排序与文件 a:外部排序的相关概念及其基本方法 b:文件的组织方式、特点及应用 三、试卷结构 1)题型结构 a:填空题(0—15分) b:选择题(0—30分) c:简答题(0—30分) d:算法设计题(0—30分) 注:题型分数在以上范围内浮动,总分为75分 2)注意事项 算法设计题,必须包含算法的基本思想、存储结构设计和算法的描述四、参考书目 1.廖明宏,郭福顺,张岩,李秀坤,数据结构与算法(第4版),高等教育出版社,2007.11 2.严蔚敏,吴伟民,数据结构(C语言版),清华大学出版社,2002.09 计算机组成原理部分(75分) 一、考试要求 要求考生全面掌握计算机组成的基本原理、概念和方法,系统深入地理解计算机系统中总线、存储器、运算器、控制器、I/O系统等的组织结构和工作原理,掌握计算机硬件系统的基本分析与逻辑设计方法,理解计算机硬件系统各组成部分之间的关系,建立计算机系统的整体概念。 二、考试内容 1)计算机系统的基本概念

哈工大计算机组成原理试卷1及答案

哈工大学年秋季学期 计算机组成原理试题

一、填空(12分) 1.某浮点数基值为2,阶符1位,阶码3位,数符1位,尾数7位, 阶码和尾数均用补码表示,尾数采用规格化形式,用十进制数写 出它所能表示的最大正数,非0最小正 数,最大负数,最 小负数。 2.变址寻址和基址寻址的区别是:在基址寻址中,基址寄存器提 供,指令提供;而在变址寻址中,变址 寄存器提供,指令提供。 3.影响流水线性能的因素主要反映在和 两个方面。 4.设机器数字长为16位(含1位符号位)。若1次移位需10ns,一 次加法需10ns,则补码除法需时间,补码BOOTH 算法最多需要时间。 5.CPU从主存取出一条指令并执行该指令的时间 叫,它通常包含若干个,而 后者又包含若干个。组成 多级时序系统。 二、名词解释(8分) 1.微程序控制 2.存储器带宽 3.RISC 4.中断隐指令及功能

三、简答(18分) 1. 完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。 2. 设主存容量为1MB,Cache容量为16KB,每字块有16个字,每字32位。 (1)若Cache采用直接相联映像,求出主存地址字段中各段的位数。 (2)若Cache采用四路组相联映像,求出主存地址字段中各段的位数。 3. 某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L3,L2,L4,L0,L1,写出各中断源的屏蔽字。

4. 某机主存容量为4M ×16位,且存储字长等于指令字长,若该机的指令系统具备120种操作。操作码位数固定,且具有直接、间接、立即、相对四种寻址方式。 (1)画出一地址指令格式并指出各字段的作用; (2)该指令直接寻址的最大范围; (3)一次间址的寻址范围; (4)相对寻址的寻址范围。 四、(6分) 设阶码取3位,尾数取6位(均不包括符号位),按浮点补码运算规则 计算 [25169?] + [24)16 11(-?] 五、画出DMA 方式接口电路的基本组成框图,并说明其工作过程(以输入设备为例)。(8分)

相关主题
文本预览
相关文档 最新文档