当前位置:文档之家› 多功能数字时钟的功能和特点

多功能数字时钟的功能和特点

多功能数字时钟的功能和特点
多功能数字时钟的功能和特点

多功能数字时钟的功能和特点:

1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号;

2. 基本时钟计时功能;

3. 闹钟功能;

4. 计数器倒计时功能;

5. 整点报时功能;

6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止;

7. 任意键关闭闹钟振铃功能(与懒人模式有关);

8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃;

9. 计数器手动启动功能;

10. 一键启动或关闭闹钟或者计数器功能;

11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统;

12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间;

13. 菜单设置功能,人机界面友好;

14. 30秒不操作,自动退出菜单功能;

15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作;

16. 可以插上4×4小键盘进行快速操作;

17. 键盘自动消抖;

18. 4×4小键盘快捷键;

19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置;

20. “↑”、“↓”键连击功能实现快速数字设定;

21. 时钟后台计时功能,查看菜单不会影响时钟计时。

22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。

************************************************************************

多功能数字时钟的使用方法:

一、时钟的设定和显示:

1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。

第二行可以显示设定的闹钟时间、或当前的计数器计数值、或计数器的设定时间,可以通过接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择某一个来显示。

例如:选择显示设定的闹钟时间,格式为:“Alarm : h1h0:m1m0:s1s0”,其中“:”一直亮。例如:选择显示当前的计数器计数值,格式为:“CntTim: h1h0:m1m0:s1s0”,其中“:”一直亮。

例如:选择显示计数器的设定时间,格式为:“CntBuf: h1h0:m1m0:s1s0”,其中“:”一直亮。

2. 首先,在正常显示时间的界面下按“确定”键(接口板s6键或者4×4小键盘上的“确定”

键)进入功能菜单,一共有三种功能菜单:“Set Clock”、“Set Alarm”、“Set Counter”,分别表示设置时钟、设置闹钟、设置计数器。通过按接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择菜单,因为LCD1602只有两行,所以同时只能有2个功能菜单显示出来,其中最左边有一个“→”符号的表示本菜单是选中的功能菜单。然后再次按“确定”键进入这一选中的功能进行设置。另外,4×4小键盘上的“1”、“2”、“3”键分别是“Set Clock”功能、“Set Alarm”功能、“Set Counter”功能的快捷键。在正常显示时间的界面下,也可以通过4×4小键盘上的“1”选择“Set Clock”功能,“2”选择“Set Alarm”功能,“3”选择“Set Counter”功能,再次按“确定”键或者4×4小键盘上的“1”、“2”、“3”键就可以进入对应功能。

3. 如果选择了“Set Clock”菜单,按“确定”键进入设置时钟的功能进行设置,这时液晶上第一行显示“Set Clock Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载当前显示的时间作为设置时间的基础。其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。其中h1只能是0、1、2三个数字,根据h1的取值h0只能是0-9或者0-3,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。数值的限定范围是自动进行的,比如设置h1时,当按下“↑”、“↓”键,就自动在0、1、2三个数字中循环,设置好以后,“←”、“→”键来选择下一位数字h0或者s0来设置。按当按下4×4小键盘上的0-9的数字键,如果按的建不是0、1、2三个数字,按其他键是无效的。当按下4×4小键盘上的有效数字键后,会自动进入设置h0的位置,同时h0开始闪烁。

4. 当设置完毕时钟的时间后,可以按“确定”键保存当前时钟的设置,再按“取消”(接口板s5键或者4×4小键盘上的“取消”键)退出菜单,回到正常显示时间的界面。

二、闹钟的操作:

1. 首先,通过菜单设置好闹钟的时间,按“确定”保存当前闹钟的设置,再按“取消”退出菜单,回到正常显示时间的界面。此时alarm_on(发光二极管D1)自动点亮,说明闹钟功能启动。你也可以通过按alarm_sw键,关闭闹钟功能,此时alarm_on灭。关闭后,如果不需要改动原来设置的闹钟时间,可以通过再次按alarm_sw键,开启闹钟功能,此时alarm_on亮。

2. 如果关闭了懒人模式(SW7键,lazy_mode,拨在上边),当时钟到达设定的闹钟时间,就会开始振铃1分钟然后停止,到明天同一时间会再次响起。闹铃过程中按下接口板上的s3-s8键或者4×4小键盘上的的任意键,就会关闭闹铃振铃,但不会关闭闹钟功能,alarm_on(发光二极管D1)不会受到影响,仍然亮。

3. 如果启动了懒人模式(SW7键,lazy_mode,拨在下边),当时钟到达设定的闹钟时间,就会响1分钟,然后停1分钟,这样一直循环响下去。直到按下alarm_sw键(s1键)关闭闹钟(注意:关闭闹钟不会立刻关闭闹铃振铃,只不过明天、后天……闹钟就不会响了),或者关闭懒人模式为止(注意:关闭懒人模式也不会立刻关闭闹铃振铃,闹铃振铃要响完这一分钟才会停)。在懒人模式下,闹铃过程中按下接口板上的s3-s8键或者4×4小键盘上的的任意键,只能暂时关闭闹钟,一分钟后闹钟仍然会振铃。

4. 闹钟设置过程中,液晶上第一行显示“Set Alarm Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载上次设置的闹钟时间作为设置闹钟的基础。其中需要设置的某一位数字处于

闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。其中h1只能是0、1、2三个数字,根据h1的取值h0只能是0-9或者0-3,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。数值的限定范围也是自动进行的,作用跟时钟设置时的一样,不再举例。

三、计数器的操作:

1. 首先,通过菜单设置好需要计数器的计数时间,按“确定”保存当前计数器的设置,再按“取消”退出菜单,回到正常显示时间的界面。此时cntalm_on(发光二极管D2)自动点亮,说明计数器功能启动,同时计数器开始倒计数。你也可以通过按cntalm_sw键,关闭计数器功能,此时cntalm_on灭。关闭后,如果不需要改动原来设置的计数器时间,可以通过再次按cntalm_sw键,开启计数器功能,此时cntalm_on亮,同时计数器开始倒计数。注意:如果给计数器设置的计数值是0,则计数器自动关闭,cntalm_on熄灭,停止计数。

2. 如果打开了自动装载计数器功能(SW6键,is_manual_auto_start,拨在下边),此时若cntalm_on(发光二极管D2)亮,则计数器会每过设定的计数时间就会启动计数闹钟响15秒,如果设定的计数时间小于15秒,则计数闹钟会一直响。直到按下cntalm_sw(s2键)关闭计数器闹钟,此时cntalm_on灭,计数器闹钟振铃也停止。每当振铃结束时,cntalm_on(发光二极管D2)保持点亮,自动保持计数器的开启。

3. 如果关闭了自动装载计数器(SW6键,is_manual_auto_start,拨在上边),必须按下cntalm_sw(s2键),cntalm_on(发光二极管D2)亮,打开计数器才会倒计时,直到计数到1时,计数闹钟开始响,同时计数器停止计数,当振铃结束时,cntalm_on(发光二极管D2)灭,自动关闭计数器。振铃的过程中可以通过按下cntalm_sw(s2键),来关闭计数器振铃,同时cntalm_on(发光二极管D2)灭。当通过菜单设置了计数器的计数时间后,cntalm_on(发光二极管D2)也亮,计数器也会自动开始倒计数,计数到1时,开始振铃,当振铃结束时,cntalm_on(发光二极管D2)灭,自动关闭计数器。

4. 计数器设置过程中,液晶上第一行显示“Set Count Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载上次设置的计数器时间作为设置计数器的基础。其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。其中h1可以是0-9,h0也可以是0-9,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。数值的限定范围也是自动进行的,作用跟时钟设置时的一样,不再举例。

四、整点报时功能:

将接口板上的SW8键,is_need_alarm,拨在下边(H)表示需要需要整点报时,拨在上边(L)表示不需要整点报时。整点报时功能启用时,当时钟每到59分56秒、57秒、58秒、59秒、和00分的00秒就会连响5下,其中前四声频率低,最后一声频率高,表示

整点。

五、其他注意事项:

1. 进入任何一级菜单后,如果30s内不按键(接口板上的s3-s8键或者4×4小键盘上的任意按键),则自动退出菜单,进入正常显示时间的模式。

2. 进入任何一级菜单后,时钟会在后台继续计时,如果没有重新设置时间,则时钟就不会受到任何影响,当前时间不会因为进入菜单而停止计时。

3. 计数器闹铃优先级最高,普通闹铃优先级次之,整点报时闹铃优先级最低。当计数器闹铃时,普通闹铃和整点报时闹铃被屏蔽,普通闹铃正在进行时,整点报时闹铃被屏蔽。

4. 注意:当s4键和s8键同时按下时,整个系统总清零,reset,所有的计数器和时间回到0。当产生系统总清零时,会发出1s“嘀”的声音。另外上电后,也会自动产生系统总清零信号,并会发出1s“嘀”的声音。

一、按键和发光二极管的编码:

1. 接口板上左右两列共8个按键开关的编号如下:从上到下,从左到右分别为:s1-s8

2. 接口板上从左到右共8个发光二极管的编号如下:从左到右分别为:D1-D8

3. 接口板上从左到右共8个拨码开关的编号如下:从左到右分别为:SW1-SW8

4. 发光二极管D1(又称为“alarm_on”)的亮灭表示有没有开启闹钟的功能,亮表示开启,灭表示关闭。

5. 发光二极管D2(又称为“cntalm_on”)的亮灭表示有没有开启计数器闹钟的功能,亮表示开启,灭表示关闭。

6. 发光二极管D8的亮灭表示有没有按下按键,按下接口板上的s3-s8键或者4×4小键盘的任意键,发光二极管D8点亮,松开按键,D8熄灭。

二、开发板红色拨码开关的作用(从左到右:SW1-SW8):

1. SW8键,is_need_alarm,是否需要整点闹铃,H(拨在下边)需要,L(拨在上边)不需要

2. SW7键,lazy_mode,是否启动懒人模式,H(拨在下边)启动,L(拨在上边)不启动

3. SW6键,is_manual_auto_start,是否自动装载计数器的设置值,H(拨在下边)自动装载,L(拨在上边)不自动装载\

三、接口板左右两侧8个按键功能(从上到下、从左到右分别是s1键到s8键,s3、s4、s7、s8键有双功能):

(1) 当正常显示时间时:

1. s1键,alarm_sw,控制着闹钟的开启和关闭,每按一次键,闹钟就打开或关闭,当打开闹钟时,alarm_on(发光二极管D1)亮,关闭闹钟时,alarm_on灭。

2. s2键,cntalm_sw,控制着计数器闹铃的开启和关闭,每按一次键,计数器闹钟就打开或关闭,当打开计数器闹钟时,cntalm_on(发光二极管D2)亮,关闭闹钟时,cntalm_on灭。

3. s5键,“取消”键,进入菜单后,如果不想保存当前的设置值,可以按“取消”,不保存当前设置,并推出本级菜单进入上一级菜单。

4. s6键,“确定”键,正常显示时间时,按下此键,则进入菜单。可以通过“↑”、“↓”、“←”、“→”键来选择菜单,进入某一个菜单后,可以通过“↑”、“↓”键来增加或者减少某一位数字的数值,通过“←”、“→”键来选择设置哪一位数字。如果想保存当前的设置值,可以按“确定”,保存当前设置后,退出本级菜单进入下一级菜单。

(2) 当进入设置菜单时:

1. s7键,相当于“↑”键,在设置时间或闹铃或计数器时,用来加计数值,按键时间不超过0.5s时,按一下键,计数值就加一,当键时间超过0.5s时,计数值自动以每0.1秒加一。

2. s8键,相当于“↓”键,在设置时间或闹铃或计数器时,用来减计数值,按键时间不超过0.5s时,按一下键,计数值就减一,当键时间超过0.5s时,计数值自动以每0.1秒减一。

3. s3键,相当于“←”键,用来选择前一个菜单,或者用来选择设置左侧时分秒的数据。

4. s4键,相当于“→”键,用来选择后一个菜单,或者用来选择设置右侧的时分秒的数据。

(3) 当时钟正常显示时间时:

1. s7键和s4键,相当于“↑”键和“→”键,在液晶第二行循环切换显示“闹钟设定时间almbufin” → “计数器当前计数值cnttimein” → “计数器设定值cntbufin”。

2. s8键和s3键,相当于“↓”键和“←”键,在液晶第二行循环切

换显示“闹钟设定时间almbufin” → “计数器设定值cntbufin” → “计数器当前计数值cnttimein”。

注意:当s4键和s8键同时按下时,整个系统总清零,reset,所有的计数器和时间回到0。当产生系统总清零时,会发出1s“嘀”的声音。

四、4×4小键盘的作用:

当插上4×4小键盘时,可以方便地快速设置时间、闹钟和计数器。

4×4小键盘的行扫描row(3..0)从高位到分别接到接口板的P5双排插针的左下角4根线上,分别锁定引脚为40、43、45、47,列扫描col(3..0)接到接口板的P5双排插针的右下角4根线上,分别锁定引脚为41、44、46、48。

编码:

|----------------|

| 1 2 3 取消|

| 4 5 6 确定|

| 7 8 9 ↑ |

| 0 ← → ↓ |

|----------------|

1. 键盘编码0~9,用来快速设置时间或者闹钟或者计数器,每设置一个数字,自动向右动跳到一个数字进行设置。

2. “←”和“→”用来选择前一个或者后一个菜单,或者用来选择某一个时分秒的数据来设置。

3. “↑”和“↓”在设置时间或闹铃或计数器时,用来加减计数值,按键时间不超过0.5s时,按一下键,计数值就加一或减一,当按键时间超过0.5s时,计数值自动以每0.2秒的速度加1。

4. “取消”,进入菜单后,如果不想保存当前的设置值,可以按“取消”,不保存当前设置,并推出本级菜单进入上一级菜单。

5. “确定”,正常显示时间时,按下此键,则进入菜单。设置时间或闹钟或计数器后,如果想保存当前的设置值,可以按“确定”保存当前设置,同时退出本级菜单进入下一级功能选择菜单。

五、需要锁定的引脚:

1. 4×4小键盘的行引脚row[3..0]和列引脚col[3..0],从行引脚输出键盘扫描的信号,从列引脚读入键盘扫描的信号。

set_location_assignment PIN_48 -to col[0]

set_location_assignment PIN_46 -to col[1]

set_location_assignment PIN_44 -to col[2]

set_location_assignment PIN_41 -to col[3]

set_location_assignment PIN_47 -to row[0]

set_location_assignment PIN_45 -to row[1]

set_location_assignment PIN_43 -to row[2]

set_location_assignment PIN_40 -to row[3]

列引脚col[3..0]要设置弱的上拉电阻:

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to col[0]

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to col[1]

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to col[2]

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to col[3]

2. 接口板上s3-s8,分别对应“←”键、“→”键、“取消”键、“确定”键、“↑”键、“↓”键

set_location_assignment PIN_84 -to key6[0] # “→”键

set_location_assignment PIN_87 -to key6[1] # “←”键

set_location_assignment PIN_145 -to key6[2] # “↓”键

set_location_assignment PIN_143 -to key6[3] # “↑”键

set_location_assignment PIN_146 -to key6[4] # “确定”键

set_location_assignment PIN_144 -to key6[5] # “取消”键

3. 液晶LCD1602的控制信号和数据信号

set_location_assignment PIN_67 -to dout[0]

set_location_assignment PIN_63 -to dout[1]

set_location_assignment PIN_60 -to dout[2]

set_location_assignment PIN_58 -to dout[3]

set_location_assignment PIN_56 -to dout[4]

set_location_assignment PIN_57 -to dout[5]

set_location_assignment PIN_59 -to dout[6]

set_location_assignment PIN_61 -to dout[7]

set_location_assignment PIN_69 -to en

set_location_assignment PIN_75 -to rs

set_location_assignment PIN_72 -to rw

4. 其他引脚

set_location_assignment PIN_23 -to clk_50M # 50MHz时钟。

set_location_assignment PIN_74 -to alarm_sw # 开关闹钟的控制键。

set_location_assignment PIN_86 -to cntalm_sw

# 开关计数器的控制键。

set_location_assignment PIN_137 -to is_manual_auto_start # 否需要整点闹铃。

set_location_assignment PIN_139 -to lazy_mode # 否需要懒人模式。

set_location_assignment PIN_142 -to is_need_alarm # 否需要整点报时。

set_location_assignment PIN_88 -to alarm_on # 发光二极管D1,亮表示开启闹钟,灭表示关闭闹钟。

set_location_assignment PIN_90 -to cntalm_on # 发光二极管D2,亮表示开启计数器闹钟,灭表示关闭计数器闹钟。

set_location_assignment PIN_112 -to key_pressed # 发光二极管D8的亮灭表示有没有按下按键,亮表示按下按键,灭表示松开按键。

set_location_assignment PIN_82 -to alarm_out # 送给蜂鸣器的信号。

set_location_assignment PIN_80 -to MAX232_R # 关闭MAX232_R的发光二极管,也可以不锁定这个引脚。

set_location_assignment PIN_76 -to MAX232_T # 关闭MAX232_T的发光二极管,也可以不锁定这个引脚。

以上是EP2C8Q208型号的FPGA学习板引脚锁定,如果板子型号也是EP2C8Q208,可以直接使用压缩包内的“clock.sof”或者“clock.jic”文件直接下载。

如果使用别的型号的板子,需要按照自己板子的情况进行引脚锁定,下载后即可使用。

最好测试一下蜂鸣器在哪些频率下声音最大,通过“tone_gen”模块分频产生2个音量最大的频率信号提供给“clock_no_PLL.bsf”模块的“ToneH”(高频率)和“ToneL”(低频率)端口。

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

多功能数字时钟的功能和特点

多功能数字时钟的功能和特点: 1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号; 2. 基本时钟计时功能; 3. 闹钟功能; 4. 计数器倒计时功能; 5. 整点报时功能; 6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止; 7. 任意键关闭闹钟振铃功能(与懒人模式有关); 8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃; 9. 计数器手动启动功能; 10. 一键启动或关闭闹钟或者计数器功能; 11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统; 12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间; 13. 菜单设置功能,人机界面友好; 14. 30秒不操作,自动退出菜单功能; 15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作; 16. 可以插上4×4小键盘进行快速操作; 17. 键盘自动消抖; 18. 4×4小键盘快捷键; 19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置; 20. “↑”、“↓”键连击功能实现快速数字设定; 21. 时钟后台计时功能,查看菜单不会影响时钟计时。 22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。 ************************************************************************ 多功能数字时钟的使用方法: 一、时钟的设定和显示: 1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。 第二行可以显示设定的闹钟时间、或当前的计数器计数值、或计数器的设定时间,可以通过接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择某一个来显示。 例如:选择显示设定的闹钟时间,格式为:“Alarm : h1h0:m1m0:s1s0”,其中“:”一直亮。例如:选择显示当前的计数器计数值,格式为:“CntTim: h1h0:m1m0:s1s0”,其中“:”一直亮。 例如:选择显示计数器的设定时间,格式为:“CntBuf: h1h0:m1m0:s1s0”,其中“:”一直亮。 2. 首先,在正常显示时间的界面下按“确定”键(接口板s6键或者4×4小键盘上的“确定”

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

电工电子实验报告(多功能数字计时器设计) 儿科常用方剂歌诀

电工电子 综合实验报告 题目:多功能数字计时器设计 姓名: 学号: 班级: 院系: 专业:

目录 1.电路功能设计要求介绍 2.电路原理简介 3. 单元电路设计 3.1 脉冲发生电路 3.2 计时电路 3.3 译码显示电路 3.4 清零电路 3.5 校分电路 3.6 仿电台报时电路 4.总电路图 5.电路调试和改进意见 6.实验中遇到的问题、出现原因及解决方法 7.实验体会 8.附录 8.1 元件清单 8.2 芯片引脚图和功能表 9.参考文献 1.电路功能设计要求

1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: 1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ); 2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; 3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。 4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。(校分隔秒) 5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); 6)系统级联。将以上电路进行级联完成计时器的所有功能。 7)可以增加数字计时器附加功能:定时、动态显示等。 2. 电路原理简介 数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。其原理框图如下: 3. 单元电路设计 3.1 脉冲发生电路

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

多功能时钟(万年历)设计

多功能时钟(万年历) 设 计 报 告 专业电子信息科学与技术 班级13级电子专升本 姓名韩科峰 学号130522012 考勤成绩设计成绩 调试成绩报告成绩 总成绩

一、课题名称 多功能时钟(万年历)设计 二、内容摘要 美国DALLAS公司推出的具有涓细电流充电能的低功耗实时时钟电路DS1302。它可以对年、月、日、周日、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。对于数字电子万年历采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。该电路采用AT89S52单片机作为核心,功耗小,能在3V的低压工作,电压可选用3~5V电压供电。 综上所述此万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 本设计是基于单片机进行的电子万年历设计,可以显示年月日时分秒及周信息,具有可调整日期和时间功能。在设计的同时对单片机的理论基础和外围扩展知识进行了比较全面准备。 关键词: 三、设计指标(要求); 1、显示时间、日期由按键选择显示(日期时间可调整)。 2、可设置闹钟功能; 3、制作PC机设置界面软件,由PC机可完成对时钟的各项设置 四、系统框图;

STC12C5A08S2 单片机 DS1302时钟模块 五、各单元电路设计、参数计算和元器件选择 4位共阴极数码管 按键

六、工作原理 DS1302在每次进行读、写程序前都必须初始化,先把SCLK端置“0”,接着把RST端置“1”,最后才给予SCLK脉冲;DS1302的控制字的位7必须置1,若为0则不能把对DS1302进行读写数据。对于位6,若对程序进行读/写时RAM=1,对时间进行读/写时,CK=0,位1至位5指操作单元的地址。位0是读/写操作位,进行读操作时,该位为1;该位为0则表示进行的是写操作。控制字节总是从最低位开始输入/输出的。 “CH”是时钟暂停标志位,当该位为1时,时钟振荡器停止,DS1302处于低功耗状态;当该位为0时,时钟开始运行。“WP”是写保护位,在任何的对时钟和RAM的写操作之前,WP必须为0。当“WP”

多功能数字钟——时分秒

电子电路综合实验设计报告 ——多功能数字钟的设计

目录 目录 (2) 一.实验目的 (3) 二.设计要求 (3) 三.总体设计概要 (3) 四.单元电路设计 (4) 4.1振荡器电路 (4) 4.2分频器电路 (7) 4.3 时间计时单元的设计 (9) 4.4译码与显示电路的设计 (13) 4.5校时电路的设计 (15) 4.6定时控制电路的设计 (17) 4.7方案一整体电路图 (18) 4.8 模块接线图及仿真结果 (18) 4.8.1用EWB软件绘制的单元接线图 (18) 4.8.2单元模块仿真 (21) 4.8.3整体仿真 (22) 五.测试结果分析 (23) 六.面包板 (23) 七.设计过程中出现的问题 (25) 八.实验用到的器件 (25)

一.实验目的 (1)加深对数字电子技术的理论知识的理解,结合实践进一步加深对单元电路基本功能的掌握和应用。 (2)通过具体数字电路模型,掌握一种常用电子电路仿真的软件,使学生能利用所学理论知识完成实际电路的设计、仿真和制作。 (3)掌握数字钟的基本知识以及所用数字钟相关芯片的功能及使用方法。 (4)了解面包板结构及其接线方法。 (5)熟悉数字钟电路的设计与制作。 二.设计要求 本课题是设计一个多功能数字钟,准确计时,以数字形式显示,时、分、秒的时间;小时的计时要求为“12翻1”,分和秒的计时要求为60进位。三.总体设计概要 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。数字钟电路系统的组成方框图如下。

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

多功能时钟方案报告(免费)

多 功 能 数 字 钟 设 计 报 告 中国计量学院 2018年5月3日 目录 摘要

1.设计任务 1)基本要求 2)发挥部分 2.方案论证与比较 1)显示部分 2)数字时钟 3)温度采集 4)闹铃部分 5)电源模块 3.总体方案 1)工作原理 2)总体设计 4.系统硬件设计 1)STC89C52RC单片机最小系统 2)测温模块 3)时钟模块 4)存储器模块 5) LCD显示模块 6)电源模块 5.单片机程序部分 1)程序编写 6.测试与结果分析 1)基本部分测试与分析 2)发挥部分测试与分析 3)创新部分测试与分析 7.设计总结 摘要本设计采用LCD液晶屏幕显示系统,以STC89C52RC单片机为核心,由键盘、温度采集、定时闹铃、日期提醒等功能模块组成。基于题目基本要求,本系统对时间显示、闹铃方式进和温度采集系统行了重点设计。此外,扩展了整点报时、非易失闹铃信息存储、国内外重要节日提醒等功能。本系统大部分功能由软件来实现,吸收了硬件软件化的思想,大部分功能通过软件来实现,使电路简单明了,系统稳定性大大提高。本系统不仅成功的实现了要求的基本功能,多数发挥部分也得到了实现,而且还具有一定的创新功能。

关键字:STC89C52RC单片机、LCD液晶显示、双电源供电、温度采集、非易失定时闹铃、生日提醒、重要节日提醒、整点报时 1、任务设计 1)基本要求 <1)具有时间设置<小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。 <2)数字显示小时、分钟,有AM、PM指示器,闹钟就绪灯,蜂鸣器。 <3)利用键盘或其它方式切换,数字显示年、月、日、周次。 <4)利用键盘或其它方式切换,数字显示当前环境温度<0~60℃0.2℃)。 <5)利用手势或其它任意方式非接触停止闹钟。 2)发挥部分 <1)220VAC供电,具有测量、显示电网频率、电压有效值功能。 <2)产生0-100k方波,频率10Hz步进可调,峰峰值〉20V<100欧姆负载),频率可以键盘控制。 <3)断电后,可保存电压、频率测量值,断电时间,闹钟设置值等参数,可自动保存20次,系统来电后,无需手动设置,即可恢复正常工作。 <4)遥控设置闹钟、时间等参数。 2、方案论证 1)显示部分: 显示部分是本次设计的重要部分,一般有以下两种方案: 方案一:采用LED显示,分静态显示和动态显示。对于静态显示方式,所需的译码驱动装置很多,引线多而复杂,且可靠性也较低。而对于动态显示方式,虽可以避免静态显示的问题,但设计上如果处理不当,易造成亮度低,有闪烁等问题。 方案二:采用LCD显示。LCD液晶显示具有丰富多样性、灵活性、电路简单、易于控制而且功耗小等优点,对于信息量多的系统,是比较适合的。 鉴于上述原因,我们采用方案二。 2)数字时钟 数字时钟是本设计的核心的部分。根据需要可采用以下两种方案实现: 方案一:方案完全用软件实现数字时钟。原理为:在单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将时字节清零。该方案具有硬件电路简单的特点,但当单片机不上电,程序将不执行。而且由于每次执行程序时,定时器都要重新赋初值,所以该时钟精度不高。 方案二:方案采用Dallas公司的专用时钟芯片DS1302。该芯片内部采用石英晶体振荡器,其芯片精度不大于10ms/年,且具有完备的时钟闹钟功能,因此,可直接对其以用于显示或设置,使得软件编程相对简单。为保证时钟在电网电压不足或突然掉电等突发情况下仍能正常工作,芯片内部包含锂电池。当电网电压不足或突然掉电时,可使系统自动转换到内部锂电池供电系统。而且即使系统不上电,程序不执行时,锂电池也能保证芯片的正常运行,以备随时提供正确的时间。 基于时钟芯片的上述优点,本设计采用方案二完成数字时钟的功能。 3)温度采集 由于现在用品追求多样化,多功能化,给系统加上温度测量显示模块,能够方便人们的生活,使该设计具有人性化。 方案一:采用热敏电阻,可满足40摄氏度至90摄氏度测量范围,但热敏电阻精度、重复

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

多功能时钟设计

可编程逻辑器件及其应用 设计报告 姓名:王克勤 院系:******* 学号:******* 日期:2012-04-09

多功能数字钟设计 一、设计内容及要求 用Verilog HDL设计一个多功能数字钟 基本功能描述: 1.时钟功能:包括时、分、秒的显示; 2.定时与闹钟功能:能在设定的时间发出闹铃音; 3.校时功能:能非常方便地对小时、分钟和秒进行手动调整以校准时间; 4.整点报时功能:每逢整点,产生“嘀嘀嘀嘀--嘟”,四短一长的报时音。 二、仿真环境说明 用Verilog在Altera公司的Quartus2软件环境下编写RTL代码,并进行综合,行为仿真。时序仿真采用Mentor公司旗下的ModelsimSE-6.1f软件。Modelsim 软件是业界最著名的波形仿真软件,仿真效果比Quartus2下编写波形文件仿真效果要好很多,而且仿真相当简单,只不过要编写测试向量(testbench),有点麻烦。 三、系统框图与说明 Figure1系统框图 1.数字钟电路系统由主体电路和扩展电路两大部分所组成。 2. 秒计数器计到59后,下一秒到来时,秒计时器满60,接着清零后向分计数器进位;同样分计数器计满60后向小时计数器进位;小时计数器按照“24进制”

规律计数,每当小时计到23小时时,当分计数和秒计数分别59,59时,即到23小时59分,59秒时候,时分秒全部清零,从新开始计数。 3. 计数器的输出经译码器送显示器,显示器用6个数码管表示,每两个数码管分别表示小时,分钟,秒钟。每个数码管用BCD码表示。 四、设计步骤 (一)列写多功能数字钟设计结构图 (二)在QuartusП软件下编写Verilog代码 (三)在QuartusП环境下进行综合 Figure2综合结果 从Fig.2可以看出,该设计采用Altera公司CycloneП系列下的EP2C35F672C8芯片。从图中可以看出,采用了162个逻辑单元,其中组合逻辑147个,总共的寄存器数为102个。

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。 XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只 要在XTAL1 和XTAL2 上接上一只石英振荡晶体系 统就可以动作了,此外可以在两引脚与地之间加入一 20PF 的小电容,可以使系统更稳定,避免噪声干扰而 死机。

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

多功能数字钟设计Verilog语言编写

多功能数字钟设计 院系:电光学院 班级:*** 学号: *** 姓名: *** 指导老师:*** 时间:2010.4.20.

摘要:利用QuartusII软件设计一个数字钟,利用模块化的程序设计思想,核心 模块均采用Verilog语言编写(译码显示模块采用原理图设计),软件仿真调试编译成功后,再下载到SmartSOPC实验系统中。经过硬件测试,查找软件设计缺陷,并进一步完善软件,最终设计得到较为满意的多功能数字钟。 关键词:QuartusII; 多功能数字钟; 模块化; Verilog; 可编程; Abstract:Using the QuartusII software design a digital bell with the blocking method.The design takes theory drawing instead of Verilog language.After emluating and debuging successfully,translate and edit the code.Then,download the result to the programmable SmartSOPC system and test it in hardware.Realizing the soul of designing hardware by software. Keywords:QuartusII; digital bell; blocking method; VHDL; programmable; hardware 目录 设计内容简介 (3) 设计要求说明 (3) 方案论证(整体电路设计原理) (3) 各子模块设计理 (5) 分频模块: (5) 计数模块: (7) --校准模块程序实现: (8) --秒计数模块程序实现: (9) --分计数模块程序实现: (10) --时计数模块程序实现: (10) 整点报时模块: (12) 闹钟设定模块: (13) --闹钟调节模块程序实现: (14) --输出信号选择模块程序实现: (14) 彩铃模块: (15) 译码显示模块: (18) 万年历模块: (19) --日计数模块程序设计: (20) --月计数模块程序设计: (23) --年计数模块程序设计: (23) --万年历波形仿真结果: (25) 结论: (26) 实验感想: (26) 附图: (27)

相关主题
文本预览
相关文档 最新文档