当前位置:文档之家› 实验四 计数器电路设计

实验四 计数器电路设计

实验四  计数器电路设计
实验四  计数器电路设计

实验四、计数器电路的设计

一、实验目的

1、掌握计数器电路的设计方法;

2、进一步掌握电路的设计、编译、仿真和下载测试的方法。

二、实验要求

1、基本要求

1)设计一个具有异步复位和同步使能的4位二进制加法计数器

2)设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器

3)设计一个具有异步复位和同步使能的BCD码加法计数电路,

2、扩展要求

1)设计一个具有异步复位和同步使能的六十进制加法计数电路

2)设计一个具有异步复位和同步使能的二十四进制加法计数电路

三、实验原理

四、实验内容及步骤

1、建立一个工程项目,路径如:D:\A0512301\forth,项目名和顶层实体名为count。

2、设计一个具有异步复位和同步使能的4位二进制计数器,并进行编译仿真与下载测试;

3、设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器,并进行编译仿真与下载测试;

4、设计一个具有异步复位和同步使能的十进制加法计数电路,并进行编译仿真与下载测

试;

五、参考程序

1、四位加法计数器

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

USE IEEE.STD_LOGIC_UNSIGNED.ALL ;

ENTITY CNT4 IS

PORT ( CLK : IN STD_LOGIC ;

Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ;

END ;

ARCHITECTURE bhv OF CNT4 IS

SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS (CLK)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ;

END IF;

END PROCESS ;

Q <= Q1 ;

END bhv;

2、异步复位,同步使能十进制加法计数器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT16 IS

PORT (CLK,RST,EN : IN STD_LOGIC;

CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

COUT : OUT STD_LOGIC );

END CNT16;

ARCHITECTURE behav OF CNT16 IS

BEGIN

PROCESS(CLK, RST, EN)

VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

IF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器异步复位 ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿 IF EN = '1' THEN --检测是否允许计数(同步使能) CQI := CQI + 1;

END IF;

END IF;

IF CQI = 15 THEN COUT <= '1'; --计数大于9,输出进位信号 ELSE COUT <= '0';

END IF;

CQ <= CQI; --将计数值向端口输出

END PROCESS;

END behav;

3、8位二进制加减计数器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY updowncnt8 IS

PORT(clr,clk,ena,load,updown:IN STD_LOGIC;

d:IN INTEGER RANGE 0 TO 255;

cout:OUT STD_LOGIC;

q:BUFFER INTEGER RANGE 0 TO 255);

END updowncnt8;

ARCHITECTURE one OF updowncnt8 IS

BEGIN

PROCESS(clk,ena,clr,d,load,updown)

BEGIN

IF CLR='0' THEN q <= 0;

ELSIF clk'EVENT AND clk='1' THEN

IF load = '1' THEN q <= d;

ELSIF ena='1' THEN

IF updown = '0' THEN q <= q+1;

IF q = 255 THEN COUT <= '1';

END IF;

ELSE q <= q-1;

IF q = 0 THEN COUT <= '1';

END IF;

END IF;

END IF;

END IF;

END PROCESS;

END one;

六、实验报告

根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

七、选做实验

实验四 计数器电路设计

实验四、计数器电路的设计 一、实验目的 1、掌握计数器电路的设计方法; 2、进一步掌握电路的设计、编译、仿真和下载测试的方法。 二、实验要求 1、基本要求 1)设计一个具有异步复位和同步使能的4位二进制加法计数器 2)设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器 3)设计一个具有异步复位和同步使能的BCD码加法计数电路, 2、扩展要求 1)设计一个具有异步复位和同步使能的六十进制加法计数电路 2)设计一个具有异步复位和同步使能的二十四进制加法计数电路 三、实验原理 四、实验内容及步骤 1、建立一个工程项目,路径如:D:\A0512301\forth,项目名和顶层实体名为count。 2、设计一个具有异步复位和同步使能的4位二进制计数器,并进行编译仿真与下载测试; 3、设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器,并进行编译仿真与下载测试; 4、设计一个具有异步复位和同步使能的十进制加法计数电路,并进行编译仿真与下载测 试; 五、参考程序 1、四位加法计数器 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END ; ARCHITECTURE bhv OF CNT4 IS SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ; END IF; END PROCESS ; Q <= Q1 ; END bhv; 2、异步复位,同步使能十进制加法计数器 LIBRARY IEEE;

实验四、 计数器的设计 电子版实验报告

实验四:计数器的设计 实验室:信息楼247 实验台号: 4 日期: 专业班级:机械1205 姓名:陈朝浪学号: 20122947 一、实验目的 1. 通过实验了解二进制加法计数器的工作原理。 2. 掌握任意进制计数器的设计方法。 二、实验内容 (一)用D触发器设计4位异步二进制加法计数器 由D触发器组成计数器。触发器具有0和1两种状态,因此用一个触发器 就可以表示1位二进制数。如果把n个触发器串起来,就可以表示N位二进制 数。(用两个74LS74设计实现) (二)利用74LS161设计实现任意进制的计数器 设计要求:学生以实验台号的个位数作为所设计的任意进制计数器。 先熟悉用1位74LS161设计十进制计数器的方法。 ①利用置位端实现十进制计数器。 ②利用复位端实现十进制计数器。 提示:设计任意计数器可利用芯片74LS161和与非门设计,74LS00为2输 入与非门,74LS30为8输入与非门。 74LS161为4位二进制加法计数器,其引脚图及功能表如下。

三、实验原理图 1.由4个D触发器改成的4位异步二进制加法计数器 2.由74LS161构成的十进制计数器

四、实验结果及数据处理 1.4位异步二进制加法计数器实验数据记录表 2. 画出你所设计的任意进制计数器的线路图,并说明设计思路。

设计思路:四进制为四个输出Q3Q2Q1Q0=0000,0001,0010,0011循环,第一个无效状态为0100 1,置位法设计四进制计数器:当检测到输入为0011时,先输出显示3,然后再将D 置于低电位,计数器输出Q3Q2Q1Q0复位。 2,复位法设计四进制计数器:当检测到第一个无效状态0100时,通过与非门的反馈计数器的Cr首先置于低电平使计数器复位为0000。 五、思考题 1. 由D触发器和JK触发器组成的计数器的区别? 答:D触发器是cp上升沿触发,JK触发器是下降沿触发。 2. 74LS161是同步还是异步,加法还是减法计数器? 答:同步。加法计数器。 3. 设计十进制计数器时将如何去掉后6个计数状态的? 答:加一个与非门形成负反馈。当计数到第一个无效状态Q3Q2Q1Q0==1010时,Q3和Q1全为1,Q1,Q3接与非门,输出作为复位信号,使所有触发器复位,从而去掉了后6个状态。

实验二:+0-9999的计数器电路的设计

实验二:+0-9999的计数器电路的设计

EDA实验报告学院 电气与信息工程学院(部): 专电子信息工程

业: 学生姓 刘玉文 名: 班 电子信息工程1101 级: 学 11401700430 号: 指导教师姓 谭会生 名: 实验二:0~9999的计数器电路的设计 1.实验目的 (1)进一步熟悉和掌握Quartus II软件的使用。 (2)进一步熟悉和掌握GW48-CK或其他EDA实验开发系统的使用。 (3)学习和掌握VHDL进程语句和元件例化语句的使用。 2.实验内容 设计并调试好一个技术范围为0~9999的4位十进制计数器电路CNT9999,并用GW48-CK或其他EDA实验开发系统(可选用的芯片为ispLSI 1032E-PLCC84或EPM7128S-PL84或XCS05/XCS10-PLCC84芯片)进行硬件验证。 3.实验条件 (1)开发软件:Quartus II8.0。

(2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:EPM7128S-PL84。 4.实验设计 (1)系统原理框图 为了简化设计并便于显示,本计数器电路CNT9999的设计分为两个层次,其中底层电路包括四个十进制计数器模块CNT10,再由这四个模块按照图所示的原理框图构成顶层电路CNT9999。 CNT9999电路原理框图 (2)VHDL程序 计数器CNT9999的底层和顶层电路均采用VHDL文本输入,有关VHDL程序如下。 1)CNT10的VHDL源程序: --CNT10.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT(CLK:IN STD_LOGIC; CLR:IN STD_LOGIC; ENA:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CO:OUT STD_LOGIC); END ENTITY CNT10; ARCHITECTURE ART OF CNT10 IS SIGNAL CQI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(CLK,CLR,ENA)IS BEGIN IF CLR='1'THEN CQI<="0000"; ELSIF CLK'EVENT AND CLK='1'THEN IF ENA='1'THEN IF CQI="1001"THEN CQI<="0000";

计数器的设计实验报告

计数器的设计实验报告 篇一:计数器实验报告 实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是

CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5- 9-1 CC40192引脚排列及逻辑符号 图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3 —计数器输入端 Q0、Q1、Q2、Q3 —数据输出端CR—清除端 CC40192的功能如表5-9-1,说明如下:表5-9-1 当清除端CR为高电平“1”时,计数

器直接清零;CR置低电平则执行其它功能。当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421 码十进制加、减计数器的状态转换表。加法计数表5-9- 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位

实验四 集成计数器

实验四集成计数器 一、实验目的 1、熟悉集成计数器的逻辑功能和各控制端的作用。 2、掌握计数器的使用方法。 二、实验器件 74LS390 双异步二---十进制计数器1片 芯片引脚图如下所示: 三、实验内容与步骤 集成计数器74LS390功能测试 a) 二进制计数 CP O (A)接输入脉冲,输出Q O接电平指示器,观察在CP脉冲作用下,电平指示器的 的波形及状态转换图,说明功能。 显示情况。画出与CP对应的Q O

波形图: CP Q O 功能说明:_____________________________________ 状态转换图: b) 五进制计数 CP1(B)接输入脉冲,输出Q3Q2Q1接电平指示器,观察在CP脉冲作用下,电平指示器的显示情况。画出与CP对应的Q3 Q2 Q1的波形及状态转换图,说明功能。 CP Q1 Q2 Q3 功能说明:_____________________________________ 状态转换图: c) 8421BCD码十进制计数 CP O(A)接输入脉冲,Q0接CP1(B),Q3 Q2 Q1 Q0输出接电平指示器和七段译码显示器,观察在CP脉冲作用下,电平指示器和七段译码显示器的显示情况。画出与CP对应的Q的波形及状态转换图,说明功能。填写表1 波形图:CP Q0 Q1 Q2 Q3 功能说明:_____________________________________ 状态转换图:

d) 5421BCD码十进制计数 CP1(B)接输入脉冲,Q3接CP0(A),输出Q0 Q3 Q2 Q1接电平指示器,观察在CP脉冲作用下,电平指示器的显示情况,画出与CP对应的Q的波形及状态转换图,说明功能。填写表2 波形图: CP Array Q1 Q2 Q3 Q0 功能说明:____________________________________________________ 状态转换图: 表2

EDA实验报告-实验3计数器电路设计(DOC)

暨南大学本科实验报告专用纸 课程名称EDA实验成绩评定 实验项目名称计数器电路设计指导教师郭江陵 实验项目编号03 实验项目类型验证实验地点B305 学院电气信息学院系专业物联网工程 组号:A6 一、实验前准备 本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。请参考前面第二章中关于“电源模块”的说明。 二、实验目的 1、了解各种进制计数器设计方法 2、了解同步计数器、异步计数器的设计方法 3、通过任意编码计数器体会语言编程设计电路的便利 三、实验原理 时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。输出显示模块用VHDL实现。 四、实验内容 1、用74161构成8位二进制同步计数器(程序为T3-1); 2、用74390构成8位二——十进制异步计数器(程序为T3-2); 3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3): 0,2,5,3,4,6,1 五、实验要求 学习使用Altera内建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。 六、设计框图 首先要熟悉传统数字电路中同步、异步计数器的工作与设计。在MAX+PLUS II中使用内建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计模块结合起来形成完整的计数器电路。并借用前面设计的数码管显示模块显示计数结果。 ◆74161构成8位二进制同步计数器(程序为T3-1)

实验五计数器的设计实验报告

实验五计数器的设计——实验报告 邱兆丰 15331260 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 1、实验箱、万用表、示波器、 2、74LS73,74LS00,74LS08,74LS20 三、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 2.集成J-K触发器74LS73 ⑴符号: 图1 J-K触发器符号

⑵功能: 表1 J-K触发器功能表 ⑶状态转换图: 图2 J-K触发器状态转换图

⑷特性方程: ⑸注意事项: ①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。 ①触发器的两个输出负载不能过分悬殊,否则会出现误翻。 ② J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的清零端子。3.时序电路的设计步骤 内容见实验预习。 四、实验内容 1.用JK触发器设计一个16进制异步计数器,用逻辑分析仪观察CP和各输出波形。2.用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出波形。3.设计一个仿74LS194 4.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为:5.考虑增加一个控制变量D,当D=0时,计数器按自定义内容运行,当D=1时,反方向运行 五、实验设计及数据与处理 实验一

16进制异步计数器 设计原理:除最低级外,每一级触发器用上一级触发器的输出作时钟输入,JK都接HIGH,使得低一级的触发器从1变0时高一级触发器恰好接收下降沿信号实现输出翻转。实验二 16进制同步计数器 设计原理:除最低级外,每一级的JK输入都为所有低级的输出的“与”运算结果实验三 仿74LS194 设计原理:前两个开关作选择端输入,下面四个开关模仿预置数输入,再下面两个开关模仿左移、右移的输入,最后一个开关模仿清零输入。四个触发器用同一时钟输入作CLK输入。用2个非门与三个与门做成了一个简单译码器。对于每一个触发器,JK输入总为一对相反值,即总是让输入值作为输出值输入。对于每一个输入,当模式“重置”输出为1时,其与预置值结果即触发器输入;当模式“右移”、“左移”输出为1时,其值为上一位或下一位对应值;当各模式输出均为0时各触发器输入为0,使输出为0。 实验四 设计原理: 在12进制同步计数器中,输出的状态只由前一周期的状态决定,而与外来输入无关,因此目标电路为Moore型。而数字电路只有0和1两种状态,因此目标电路要表达12种状态需

实验报告五 定时器计数器实验

信息工程学院实验报告 课程名称:微机原理与接口技术Array 实验项目名称:定时器/计数器实验实验时间: 班级:姓名:学号: 一、实验目的 1. 掌握8254 的工作方式及应用编程。 2. 掌握8254 典型应用电路的接法。 二、实验设备 PC 机一台、TD-PITD+实验系统一套。 三、实验原理 8254 是Intel 公司生产的可编程间隔定时器。是8253 的改进型,比8253 具有更优良的性能。8254 具有以下基本功能: (1)有 3 个独立的16 位计数器。 (2)每个计数器可按二进制或十进制(BCD)计数。 (3)每个计数器可编程工作于 6 种不同工作方式。 (4)8254 每个计数器允许的最高计数频率为10MHz(8253 为2MHz)。 (5)8254 有读回命令(8253 没有),除了可以读出当前计数单元的内容外,还可以读出状态寄存器的内容。 (6)计数脉冲可以是有规律的时钟信号,也可以是随机信号。计数初值公式为: n=f CLKi ÷f OUTi、其中f CLKi 是输入时钟脉冲的频率,f OUTi 是输出波形的频率。 图5-1 是8254 的内部结构框图和引脚图,它是由与CPU 的接口、内部控制电路和三个计数器组成。8254 的工作方式如下述: (1)方式0:计数到0 结束输出正跃变信号方式。 (2)方式1:硬件可重触发单稳方式。 (3)方式2:频率发生器方式。 (4)方式3:方波发生器。 (5)方式4:软件触发选通方式。 (6)方式5:硬件触发选通方式。

图5-1 8254 的内部接口和引脚 8254 的控制字有两个:一个用来设置计数器的工作方式,称为方式控制字;另一个用来设置读回命令,称为读回控制字。这两个控制字共用一个地址,由标识位来区分。控制字格式如表5-1~5-3 所示。 表5-1 8254 的方式控制字格式 表5-2 8254 读出控制字格式 表5-3 8254 状态字格式 8254 实验单元电路图如下图所示:

实验四_4_位计数器设计

实验四4 位计数器设计 1. 实验目的 学习quartusii 和modelsim 的使用方法; 学习原理图和veriloghdl 混合输入设计方法; 掌握4位计数器设计的设计及仿真方法。 2. 实验原理 设计其中的计数器模块CNT4E和数码管译码驱动模块DECL7S勺verilogHDL代码,并作出整个系统仿真。 2.1 4位计数器模块代码 module CNT4B(out, CLK, RST); // output out; // in put CLK, RST; // reg [3:0] out; // always (posedge CLK or n egedge RST) // begin if(!RST) // out <= 4'dO; else out <= out + 1; // end en dmodule 低电平复位 每一个clk计数 效果图: 定义模块名和各个端口 定义输出端口 定义输入时钟和复位 定义四位计数器 上升沿时钟和下降沿复位

2.2七段数码管代码 module segled(out1,a); // in put [3:0]a; // output [6:0]out1; // reg [6:0]out1; //reg always(a) // begin case(a) //case 4'b0000:out1<=7'b0111111; 4'b0001:out1<=7'b0000110; 4'b0010:out1<=7'b1011011; 4'b0011:out1<=7'b1001111; 4'b0100:out1<=7'b1100110; 4'b0101:out1<=7'b1101101; 4'b0110:out1<=7'b1111101; 4'b0111:out1<=7'b0000111; 4'b1000:out1<=7'b1111111; 4'b1001:out1<=7'b1101111; 4'b1010:out1<=7'b1110111; 4'b1011:out1<=7'b1111100; 4'b1100:out1<=7'b0111001; 4'b1101:out1<=7'b1011110; 4'b1110:out1<=7'b1111001; 4'b1111:out1<=7'b1110001; endcase end en dmodule // 模 块结束效果图: 定义模块名和输入输出端口 输入一个3位矢量 输出一个6位矢量 型变量用于always语句 语句用于选择输出 CNT4B:b2v inst 敏感信号a

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

4实验四_定时器计数器

PIC单片机定时器实验 课程名称微机原理与单片机技术 学院自动化学院 专业班级电子信息科学与技术(2)班 学号 3214001426 姓名林玫妮 2016年 11月 7日

一、实验目的 熟练掌握在 PIC16F877 的定时器 TMR0 的工作原理配置方式,会使用定时器查 询、中断方式,以及计数器模式。 二、实验内容和要求 在MPLAB环境中建立工程,实现对PIC单片机的定时器TMR0的初始 化,分别实现查询方式和中断方式定时,对比中断及查询方式的差异。实现对 PIC单片机的计数器的初始化,掌握计数器脉冲的输入硬件电路。 三、实验主要仪器设备和材料 计算机,MPLAB 软件,PIC 单片机实验板 HL-K18 四、实验方法、步骤及结果测试 1,熟悉电路板,熟悉相关电路原理图(要求使用 4M 晶振进行编程)。 2,任务1,分别采用定时器查询和定时器中断的方式,实现蜂鸣器按0.5秒周期鸣叫,即一秒鸣叫2次。比较查询与中断响应的区别。在MPLAB环境中 建立工程,按要求编写如下程序,并加载到工程中,编译并下载到单片机实 验板中观察实验结果。 例程1定时器查询方式 主程序 计算初值,实现蜂鸣 器按0.5s周期鸣叫

例程 2 定时器中断方式计算初值,实现蜂鸣器按0.5s周 期鸣叫 主程序 中断服务程序

例程3计数器模式 五、实验报告要求 1,对例程 1、2、3 的每一句程序进行注释。描述如何改变寄存器及其对 IO 的影

响。 (1)例子1: (2)例子2:

(3)例子3: 2,详细写出任务 1 中定时器初值的计算过程。 任务 1 中定时器初值的计算过程如下: 3,画出例程 2 的程序流程图。 4,讨论定时器查询方式和中断方式的差异和优缺点。 (1)中断方式不占用CPU资源,定时时间到会自动进入中断程序,准确度高。自动调用对应的函数。 (2)查询方式需要一直占用CPU资源(需要一直扫描标志位),定时时间到后需要程序查询到才能判断时间到,稍微有点误差。在需要的地方,需要手动调用对应函数 六、思考题 编写中断服务程序,实现蜂鸣器0.25秒周期鸣叫,同时LED数码管前两位按照100 毫秒周期从 10 开始倒数。

实验四-模10计数器与10分频电路

●实验名称:利用VerilogHDL设计一个模10加法计数器和一个时钟10分频电路 ●实验目的: 1.熟悉用可编程器件实现基本时序逻辑电路的方法。 2.了解计数器的Verilog描述方法,以及偶数分频的思路与原理。 ●预习要求: 1.回顾数字电路中加法计数器的相关知识。 ●实验说明: 1.用MAX+plus II软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语 言。 2.加法计数器表示随着时钟脉冲的输入,计数器从0开始正向计数,直到计满规定的 模值后归零,然后依次循环计数。模10计数器表示,计数器从0000~1001循环计 数。 3.时钟分频电路的功能是,对输入的时钟频率进行偶数倍的降频(倍增其周期),10 分频意味着分频后产生的新时钟周期是输入时钟的20倍。 ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.用VerilogHDL语言方式编写一个模10加法计数器cnt_10。 3.对此计数器模块进行编译和仿真。 4.用VerilogHDL语言方式编写一个20分频模块fenpin_20,对输入时钟进行20分频 处理。 5.对此分频电路进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据: 1. 模10加法计数器cnt_10的V erilog代码

2. 模10加法计数器cnt_10的仿真波形: 3. 20分频模块fenpin_10的Verilog代码: 4. 20分频模块fenpin_10的仿真波形:

同步计数器的设计实验报告文档

2020 同步计数器的设计实验报告文档 Contract Template

同步计数器的设计实验报告文档 前言语料:温馨提醒,报告一般是指适用于下级向上级机关汇报工作,反映情况,答复上级机关的询问。按性质的不同,报告可划分为:综合报告和专题报告;按行文的直接目的不同,可将报告划分为:呈报性报告和呈转性报告。体会指的是接触一件事、一篇文章、或者其他什么东西之后,对你接触的事物产生的一些内心的想法和自己的理解 本文内容如下:【下载该文档后使用Word打开】 同步计数器的设计实验报告 篇一:实验六同步计数器的设计实验报告 实验六同步计数器的设计 学号: 姓名: 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 三、实验预习 1、复习时序逻辑电路设计方法。 ⑴逻辑抽象,得出电路的状态转换图或状态转换表 ①分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。通常都是取原因(或条件)作为输入逻辑变量,取结

果作输出逻辑变量。 ②定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。 ③按照题意列出电路的状态转换表或画出电路的状态转换图。通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。 ⑵状态化简 ①等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。 ②合并等价状态,使电路的状态数最少。 ⑶状态分配 ①确定触发器的数目n。因为n个触发器共有2n种状态组合,所以为获得时序电路所需的M个状态,必须取2n1<M2n ②给每个电路状态规定对应的触发器状态组合。 ⑷选定触发器类型,求出电路的状态方程、驱动方程和输出方程 ①根据器件的供应情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。 ②根据状态转换图(或状态转换表)和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。 ⑸根据得到的方程式画出逻辑图 ⑹检查设计的电路能否自启动 ①电路开始工作时通过预置数将电路设置成有效状态的一种。 ②通过修改逻辑设计加以解决。

实验四 定时计数器实验

实验四 定时/计数器实验 一、实验目的 熟悉8051定时/计数功能,掌握定时/计数初始化编程方法。 二、实验器材 装有Keil μVision4 IDE 集成开发环境和Proteus 的计算机。 三、实验内容 使用定时/计数器作为延时控制时,要求在亮灯P0.0和P0.1之间按1互相闪烁。 四、流程图及源程序 由于定时器直接延时的最大时间166max (20)12/(122)65536t s μ=-??=,为延时1s ,必须采用循环计数方式实现。,方法为,定时器每延时50ms ,单片机内部寄存器加1,然后定时器重新延时,当内部寄存器计数达20次时,表示已延时1s 。使用定时器T0工作在方式1,延时50ms ,则TMOD 为01H ,TH0=4CH ,TL0=00H 。 1.程序流程图

2汇编源程序: ORG 0000H LJMP MAIN ORG 0100H MAIN: MOV SP,#60H ;初始化堆栈 ;给定时器T0赋初值 MOV TMOD,#01H ;T0采用方式1 MOV TH0,#4CH ;初始化定时器T0的溢出间隔时间为50ms MOV TL0,#00H ;TH0为高位,TL0为低位 SETB TR0 ;启动定时器T0 SETB P0.0 CLR P0.1 MOV R7,#00H ;用R7计数 LOOP: JNB TF0,LOOP ;当计数溢出时,硬件置位TF0为1,程序向下执行 ;否则调用LOOP MOV TH0,#4CH ;初始化定时器T0的溢出间隔时间为50ms MOV TL0,#00H ;TH0为高位,TL0为低位 INC R7 CLR TF0 CJNE R7,#14H,LOOP ACALL LOOP1 ;20次循环闪烁一次 AJMP LOOP LOOP1:MOV R7,#00H CPL P0.0 ;让接P0.0的发光二极管按50ms闪烁 CPL P0.1 RET END 五、实验步骤 1.建立一个工程项目选择芯片确定选项(同实验一的实验步骤1)。 2.建立汇编源文件(同实验一的实验步骤2)。 3.用项目管理器生成(编译)各种应用文件(同实验一的实验步骤3)。 4.检查并修改源文件中的错误(同实验一的实验步骤4)。 5.编译连接通过后进行调试与仿真。 六、思考题 1.如何将LED的状态间隔改为2秒,程序如何改写? 2.如果更换不同频率的晶振,会出现什么现象?如何调整程序? 七、电路图

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

实验九 计数器的设计

实验九计数器的设计 实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和 同步计数器。 一、 二、实验仪器及器件 1、 2、试验箱,万用表,示波器 3、 4、74LS73, 74LS00,74LS08,74LS20 三、 四、实验原理 (1)74LS194——移位寄存器 芯片74LS194是一种移位寄存器,具有左移、右移,并行送数、保持和清除五项功能。移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出。

(2)双J-K 触发器 74LS73 74LS73 是一种双J-K 触发器(下降 沿触发),它只有在时钟脉冲的状态发生变化是,发生在时钟脉冲的 下降沿。并且只有在下降沿的转换瞬间才对输入做出响应。本实验采 用集成J-K 触发器74LS73构成时序电路。 表达式:Q n+1=J (Q n )'+K 'Q n 1、K 触发器设计16进制异步计数器,用逻辑分析仪分析观察CP 和各输出波形 Cr S 1 S 0 工作状态 0 1 1 1 1 X 0 0 1 1 X 0 1 0 1 置零 保持 右移 左移 并行送数 M D D D CP G Vcc D D Q Q Q Q M C D 74LS194 引脚图 74LS73 引脚图

步骤二:选择门电路:我认为可以用四个74LS93,来实现这一功能,所有的J,K都接入高电平,此时表达式变 从而四级JK触发器就会有四级分频。同时由于要求异步计数器所以,把上一级的输出接入下一级的输入,实现异步计数器,相应的由于分频的原因,Q0,Q1,Q2,Q3的频率逐次减少为上一级一半,从而实现十六进制。 步骤三:列出理论的波形图片: 步骤四:用proteus仿真 步骤五:用逻辑分析仪观察波形 1、 2、用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察 CP和各输出的波形

实验五 计数器的设计——实验报告

实验五计数器的设计——实验报告 邱兆丰15331260 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 1、实验箱、万用表、示波器、 2、74LS73,74LS00,74LS08,74LS20 三、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 2.集成J-K触发器74LS73 ⑴符号:

图1 J-K触发器符号⑵功能: 表1 J-K触发器功能表 ⑶状态转换图:

图2 J-K触发器状态转换图 ⑷特性方程: +1 n Q n n Q+ = J K Q ⑸注意事项: ①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。 ①触发器的两个输出负载不能过分悬殊,否则会出现误翻。 ②J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的 清零端子。 3.时序电路的设计步骤 内容见实验预习。 四、实验内容

1.用JK触发器设计一个16进制异步计数器,用逻辑分析仪观察CP和各输出波形。 2.用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出波形。 3.设计一个仿74LS194 4.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为: 5.考虑增加一个控制变量D,当D=0时,计数器按自定义内容运行,当D=1时,反方向运行 五、实验设计及数据与处理 实验一 16进制异步计数器 设计原理:除最低级外,每一级触发器用上一级触发器的输出作时钟输入,JK都接HIGH,使得低一级的触发器从1变0时高一级触发器恰好接收下降沿信号实现输出翻转。

同步计数器的设计实验报告

同步计数器的设计实验报告 同步计数器的设计实验报告 篇一:实验六同步计数器的设计实验报告 实验六同步计数器的设计 学号: 姓名: 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 三、实验预习 1、复习时序逻辑电路设计方法。 ⑴逻辑抽象,得出电路的状态转换图或状态转换表 ①分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。通常都是取原因作为输入逻辑变量,取结果作输出逻辑变量。 ②定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。 ③按照题意列出电路的状态转换表或画出电路的状态转换图。通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。 ⑵状态化简 ①等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。

②合并等价状态,使电路的状态数最少。 ⑶状态分配 ①确定触发器的数目n。因为n个触发器共有2n种状态组合,所以为获得时序电路所需的M个状态,必须取2n1<M2n ②给每个电路状态规定对应的触发器状态组合。 ⑷选定触发器类型,求出电路的状态方程、驱动方程和输出方程①根据器件的供应情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。 ②根据状态转换图和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。 ⑸根据得到的方程式画出逻辑图 ⑹检查设计的电路能否自启动 ①电路开始工作时通过预置数将电路设置成有效状态的一种。 ②通过修改逻辑设计加以解决。 ⑺设计步骤简图 图3 设计步骤简图 2、按实验内容设计逻辑电路画出逻辑图。设计思路详情见第六部分。电路图如下: 四、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。双向计数器

交换计数器实现实验报告四

HUNAN UNIVERSITY 路由器 实验报告 题目:004交换计数器实现 学生姓名: 学生学号: 专业班级: 同组成员: 上课老师:

目录 一、内容 (2) 二、目的 (2) 三、实验步骤 (2) 四、实验环境 (3) 五、实验操作及运行结果 (4) 六、实验体会和思考题 (8) 附录(源代码及注释)............................................................................. 错误!未定义书签。

一、内容 1、基础要求:在2口进3口出的基本功能UM_my/UM.v模块中设计端口计数器,能统计端口进入 报文数量。 2、第二要求:设计计数器能统计交换机通过的有效报文字节数量。 3、设计STP信号量并监视 设计完网络接口接收计数器模块的代码,初步掌握接收计数器模块的工作原理;使用接收计数器更新状态机的状态设置触发条件;在主机A上发送ping主机B的命令;使用抓包软件统计接口收发报文的计数接收计数器状态机的变化情况;对比软硬件计数器的统计结果。\ 二、目的 1、学会硬件实现交换机的端口计数器; 2、进一步熟练捕获数据的方法 3、掌握多种计数方式的设计和实现 4、了解掌握网络接口性能测量的基本方法 三、实验步骤 step1.使用Quartus 打开上次实验完成好的in2out3目录下的硬件工程项目netFPGAmini-2-3.qar。 step2.在工程项目中,打开文件列表对UM.v文件进行编写(用户模块文件) Step3.在UM.v文件中增加一个新的处理逻辑,在一个always@(posedge clk or negedge reset)

实验四模计数器与分频电路

实验四基本时序逻辑电路的PLD实现(1) ●实验名称:利用VerilogHDL设计一个模10加法计数器和一个时钟10分频电路 ●实验目的: 1.熟悉用可编程器件实现基本时序逻辑电路的方法。 2.了解计数器的Verilog描述方法,以及偶数分频的思路与原理。 ●预习要求: 1.回顾数字电路中加法计数器的相关知识。 ●实验说明: 1.用MAX+plusII软件开发PLD器件有两种设计输入方式:原理图输入和HDL语言 输入方式,或者将两者结合起来,一部分电路采用原理图,另一部分采用HDL语 言。 2.加法计数器表示随着时钟脉冲的输入,计数器从0开始正向计数,直到计满规定的 模值后归零,然后依次循环计数。模10计数器表示,计数器从0000~1001循环计 数。 3.时钟分频电路的功能是,对输入的时钟频率进行偶数倍的降频(倍增其周期),10 分频意味着分频后产生的新时钟周期是输入时钟的20倍。 ●实验内容与步骤: 1.新建一个属于自己的工程目录。 2.用VerilogHDL语言方式编写一个模10加法计数器cnt_10。 3.对此计数器模块进行编译和仿真。 4.用VerilogHDL语言方式编写一个20分频模块fenpin_20,对输入时钟进行20分频 处理。 5.对此分频电路进行仿真。 ●实验报告要求: 1.将自己绘制的电路图或者编写的VerilogHDL代码,截图或者复制到实验报告中。 2.将代码关键位置写上相应注释(可用中文)。 3.对仿真波形截图,贴到实验报告中。 ●实验图表与数据: 1.模10加法计数器cnt_10的Verilog代码

2.模10加法计数器cnt_10的仿真波形: 4.20分频模块fenpin_10的仿真波形:

相关主题
文本预览
相关文档 最新文档