当前位置:文档之家› 开关控制LED数码管实验报告

开关控制LED数码管实验报告

开关控制LED数码管实验报告
开关控制LED数码管实验报告

实验二开关控制LED数码管实验

一、实验目的:

1.通过AT89C51读入4位开关K1、K2、K3、K4的输入状态,并按照二进制编码关系0-F输出到数码管显示。(如K4K3K2K1全部按下,则显示F;若只有K2按下,则显示2。)

2.掌握LED数码管的静态显示。

3.掌握I/O口的控制方法。

二、PROTEUS电路设计:

三、实验仪器和设备

PC机、PROTEUS软件或W-A-51综合开发学习板

四、源程序设计:

1.程序

ORG 0000H

LJMP MAIN

ORG 0030H

MAIN:

MOV DPTR,#BIAO ;指针指向表的首地址

MOV A,P2 ;读入P2口4个开关的状态,开关按下着输入低电平CPL A ;把输入的开关状态取反,得到编码关系

MOVC A,@A+DPTR ;查表,找到7端数码管对应的显示代码

MOV P0,A ;输出显示

BIAO: DB 40H,79H,24H,30H,19H,12H,02H,78H,00H,10H,08H,49H,46H,40H,06H,0EH END

PLC课程设计-LED灯数码显示控制

成绩 可编程逻辑控制器课程设计报告 题目LED灯数码显示控制 系别 专业名称 班级 学号 姓名 指导教师

目录 一、引言 (4) 二、系统总体方案设计 (4) 系统硬件配制及组成原理 (4) PLC各组成部件及作用 (4) PLC的分类 (5) LED数码管的结构及工作原理 (6) 系统变量定义及分配表 (7) 系统接线图设计 (7) 三、控制系统设计 (8) 控制程序设计思想 (8) 控制程序时序图设计 (8) 四、系统调试及结果分析 (8) 系统调试及解决的问题 (8) 结果分析 (9) 五、结束语 (9) 六、参考文献 (9) 附录 (10)

LED 数码显示控制 一、实验目的 了解并掌握LED 数码显示控制中的应用及其编程方法。 二、控制要求 按下启动按钮后,由八组LED 发光二极管模拟的八段数码管开始显示:一一显示各段,之后一次显示0、1、2、3、4、5、6、7、8、9、A 、B 、C 、D 、E 、F 再返回初始显示,并循环不止。 三、LED 数码显示控制的实验面板图: 四、实验设备 1、安装了STEP7-Micro/WIN32编程软件的计算机一台 2、PC/PPI 编程电缆一根 3、锁紧导线苦干 五、实验步骤 1、根据上表进行输入输出接线; 2、编写程序,并把程序输入STEP7中; 3、检查输入程序无误以后,将程序下载到主机内,并且把PLC 的工作模式达到RUN 模式; 4、拨动输入开关SD ,观察输出LED 的显示结果。 输入 接线 SD 启动 输出 接线 A B C D E F G H A B C D E F G H

单片机控制步进电机和数码管显示

一、设计任务书 设计内容:用80C51单片机设计一个步进电机控制器 设计要求: 1.用8015设计一个四相步进电机。 2.可控制步进电机的启动与停止,正转与反转。 3.10档速度调节。 4.点动控制。 5.可显示电机运行参数。 二、设计总体方案 (一)控制方式的选择 控制主要用于电机速度和方向的转换。控制方式有按键控制和开关控制两种。按键较开关而言,操作更加简便,故选按键控制。 方案一:独立按键。独立按键可自由连接,线路简单。 方案二:编码式键盘。编码式键盘的按键接触点接于74LS148芯片。当键盘上没有闭合时,所有按键都断开,当某一键闭合时,该键对应的编码由74LS148输出。 本次设计所需按键不多,不需要采用复杂编码,考虑硬件条件、线路连接和经济性等方面,选择方案一。 (二)电机电路设计方案的选择 由于条件的限制,对于电机的选择只能是实验台上最小步距角18°的电机,其中已包含了驱动电路。 (三)单片机的选择 方案一:AT89C51高性能8位单片机,内部集成CPU、存储器、寄存器、I/O接口,从而构成较为完整的计算机,价格便宜。 方案二:C8051F005单片机,该单片机是完全集成的混合信号系统及芯片,具有8051兼容的微控制器内核,与MCS-51指令集完全兼容。除了具有标准8052的数字外设部件,片内还继承了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,执行速度快,但价格较贵。 本次课程设计是在仿真环境下进行,没有太过考虑单片机选择的问题,但就设计本身来讲,从物美价廉的角度考虑,选择方案一较合适。 (四)显示方案的选择 方案一:采用LED数码管。LED数码管是轮流现实的,其利用人烟的视觉暂留特性,使人感觉不到数码管闪动,看到每只数码管都常亮。利用其显示必须不停给数码管数据输入口循环赋值,显示内容较多,编程和接线较为复杂。 方案二:采用LCD1602液晶显示器。LCD1602具有功率小,效果明显,变成容易等优点,且它最多能显示2×16个字符,可以轻松满足设计要求。 由上可知,LCD1602液晶显示器的优点突出,故选择方案二。 (五)软件部分的选择 软件部分的选择主要是指编程语言的选择,编译调试工具根据设计平台选择伟福软件。编程语言主要有以下两种方案。

实验四 数码管显示控制

实验四数码管显示控制 一、实验目的 1、熟悉Keil uVision2软件的使用; 2、掌握LED数码管显示接口技术; 3、理解单片机定时器、中断技术。 二、实验设备及仪器 Keil μVision2软件;单片机开发板;PC机一台 三、实验原理及内容 1、开发板上使用的LED数码管是四位八段共阴数码管(将公共端COM接地GND),其内部结构原理图,如图4.1所示。 图4.1共阴四位八段LED数码管的原理图 图4.1表明共阴四位八段数码管的“位选端”低电平有效,“段选端”高电平有效,即当数码管的位为低电平,且数码管的段为高电平时,相应的段才会被点亮。 实验开发板中LED数码管模块的电路原理图,如图4.2所示。 a~h SP2 SP1 P0.0~P0.3 P0.4~P0.7图4.2 LED数码管模块电路原理图

图中,当P1.0“段控制”有效时,P0.0~P0.7分别对应到数码管的a~h段。当P1.1“位控制”有效时,P0.0~P0.7分别对应到DIG1~DIG8。 训练内容一:轮流点亮数码管来检测数码管是否正常。参考程序: ORG 00H AJMP MAIN MAIN: SETB P1.2;LED流水灯模块锁存器的控制位 MOV P0,#0FFH;关闭LED灯 CLR P1.2 SETB P1.3 ;点阵模块的行控制锁存器 MOV P0,#0 ;关闭点阵行 CLR P1.3 MOV A,#11111110B;数码管“位选信号”初值,低电平有效 LOOP:SETB P1.1;数码管位控制锁存器有效 MOV P0,A CLR P1.1 RL A ;形成新的“位选信号”,为选择下一位数码管做准备 SETB P1.0;数码管段控制锁存器有效 MOV P0,#0FFH ;数码管的所有段点亮,显示“8” CLR P1.0 CALL DELAY SJMP LOOP DELAY:MOV R5,#0;延时子程序 D1: MOV R6,#0 D2:NOP DJNZ R6,D2

开关电源实验报告

开关电源实验报告 一开关电源原理 如下图30W开关电源电路图所示,市电先经过由电容CX1和滤波电感LF1A组成的滤波电路后,再经过型号为KBP210的整流桥BD1和C1组成的整流电路,输出直流电。直流电又经过由UC3842和2N60等元器件组成的高频逆变电路后,变成高频的交流电,经高频变压器输出为低电压的高频交流电。高频交流经肖基特二极管SR1060后变为脉动的直流电,最后经滤波电容和滤波电感变为我们想要的直流电输出。

MOV2、MOV3:F1、F2、F3、FDG1组成的电路进行保护。当加在压敏电阻两端的电压超过其工作电压时,其阻值降低,使高压能量消耗在压敏电阻上,若电流过大,F1、F2、F3会烧毁保护后级电路。(2)输入滤波电路:C1、L1、C2、C3组成的双π型滤波网络主要是对输入电源的电磁噪声及杂波信号进行抑制,防止对电源干扰,同时也防止电源本身产生的高频杂波对电网干扰。当电源开启瞬间,要对C5充电,由于瞬间电流大,加RT1(热敏电阻)就能有效的防止浪涌电流。因瞬时能量全消耗在RT1电阻上,一定时间后温度升高后RT1阻值减小(RT1是负温系数元件),这时它消耗的能量非常小,后级电路可正常工作。 (3)整流滤波电路:交流电压经BRG1整流后,经C5滤波后得到较为纯净的直流电压。若C5容量变小,输出的交流纹波将增大。

1.2功率变换电路 (1)MOS管的工作原理:目前应用最广泛的绝缘栅场效应管是MOSFET(MOS管),是利用半导体表面的电声效应进行工作的。也称为表面场效应器件。由于它的栅极处于不导电状态,所以输入电阻可以大大提高,最高可达105欧姆,MOS管是利用栅源电压的大小,来改变半导体表面感生电荷的多少,从而控制漏极电流的大小。(2)常见的原理图: (3)工作原理 R4、C3、R5、R6、C4、D1、D2组成缓冲器,和开关MOS管并接,使开关管电压应力减少,EMI减少,不发生二次击穿。在开关管Q1关断时,变压器的原边线圈易产生尖峰电压和尖峰电流,这些元件组合一起,能很好地吸收尖峰电压和电流。从R3测得的电流峰值信号参与当前工作周波的占空比控制,因此是当前工作周波的电流限制。当R5上的电压达到1V时,UC3842停止工作,开关管Q1立即关断。

数电课程设计-数码管显示控制器的设计与实现

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 数码管显示控制器的设计与实现 初始条件: 555定时器、74LS160计数器、74LS161计数器、74LS153数据选择器、74LS48译码器、74LS04非门与数码管、电阻、电容等相关元件。 要求完成的主要任务: 1、设计任务 根据已知条件,完成对数码管显示控制器的设计、装配与调试。 2、设计要求 (1)、能自动一次显示出数字 0、1、2、3、4、5、6、7、8、9(自然数列),1、 3、5、7、9(奇数列), 0、2、 4、6、8(偶数列),0、1、0、1、2、3、4、 5、6、7(音乐符号序列);然后再从头循环; (2)、打开电源自动复位,从自然数列开始显示。 时间安排: 1、2012 年 6 月 8 日分班集中,布置课程设计任务、选题;讲解课设具体实施计划与课程设计报告格式的要求;课设答疑事项。 2、2012 年 6 月 9 日至 2012 年 7 月 3 日完成资料查阅、设计、制作与调试;完成课程设计报告撰写。 3、2012 年 7 月 4 日提交课程设计报告,进行课程设计验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstact (4) 引言 (5) 1设计背景 (6) 1.1设计任务 (6) 1.2设计要求 (6) 1.3指导思想 (6) 2方案论证 (7) 2.1方案说明 (7) 2.2方案原理 (7) 3电路的设计与分析 (8) 3.1电路的总体设计 (8) 3.2电路的原理框图 (9) 3.3元电路的设计与分析 (9) 3.3.1多谐振荡电路的设计与分析 (9) 3.3.2计数电路的设计与分析 (11) 3.3.3译码显示电路的设计与分析 (13) 4电路仿真、调试与分析 (16) 4.1脉冲产生电路的仿真 (16) 4.2总电路的仿真 (17) 4.3运行结果分析 (17) 5心得与体会 (18) 附录1元器件清单 (19) 附录2参考文献 (20)

华南理工大学高频开关电源实验报告

四、实验记录及处理 1、设定输出电流,当负载变化时,测量输出的电压、电流如表1所示 表1 外特性数据记录 1 2 3 4 5 6 7 8 50A U/V 10.01 17.30 26.00 36.04 50.30 51.10 51.60 52.10 I/A 49.60 49.60 49.70 49.60 49.00 39.50 34.00 29.80 100A U/V 15.80 27.08 41.00 48.10 50.00 51.00 51.50 51.80 I/A 99.70 99.60 99.80 77.80 50.40 39.50 34.70 34.70 150A U/V 18.50 34.60 45.10 47.70 49.80 51.00 51.50 52.00 I/A 149.90 150.00 121.30 84.80 53.30 42.40 36.80 32.40 200A U/V 22.80 41.40 45.50 47.70 50.00 51.00 51.50 51.90 I/A 200.00 193.70 127.60 86.20 54.80 43.10 35.80 31.90 250A U/V 26.20 41.10 45.10 47.70 50.00 50.80 51.40 51.80 I/A 246.70 194.30 126.10 84.00 53.10 41.20 36.20 31.70 300A U/V 29.80 41.20 45.10 47.80 50.10 51.00 51.60 52.60 I/A 295.70 196.00 120.00 84.10 53.30 41.50 36.10 31.60 外特性曲线图如下: 图4 变极性TIG焊接电源外特性 曲线分析: 在输出功率P一定的情况下,由于P=I2 R,随着负载R的增加,输出电流I 只能下降,又因为P=UI,输出电压U上升,曲线无法继续保持恒流特性,这一特性在大电流输出时更加明显。

拨码开关输入数码管显示实验

综合课程设计实验报告 班级: 姓名: 学号:11 指导老师:

实验名称: 拨码开关输入数码管显示实验 实验要求: 1. 掌握数码管显示原理 2. 掌握拨码开关工作原理 3. 通过FPGA用拨码开关控制数码管显示 实验目标: 4位拨码开关分别对应4位数码管,拨动任意1位开关,对应的数码管将显示数字1,否则显示数字0。 实验设计软件 Quartus II 实验原理 1.数码管显示模块 电路原理图:

如图所示,数码管中a,b,c,d,e,f,g,dp分别由一个引脚引出,给对应的引脚高电平,则对应引脚的LED点亮,故我们在程序中可以设定一个8位的二进制数reg【7:0】h,每一位对应一个相应的引脚输出,那么我们就可以通过对x的赋值,控制对应的8个LED亮灭的状态进行数字显示。例如,如果我们显示数字2,则在数码管中,a、b、d、e、g亮,c、f、dp不亮,则显示的是数字2,即h=’b代表显示数字2。 2.拨码开关模块 电路原理图: 拨码开关有8个引脚,每个引脚对应于数码管的一个LED灯,当拨码开关的一个引脚是高电平时,则对应的数码管一个LED灯亮,其他7个LED等不亮。通过此原理来实现数码管的LED灯亮暗情况从而实现数码管的数字显示。例如当第一个拨码接通时,此时输入信号为8'b对应的数码管的输出信号为out=8'b,此时相当于数码管a,b,c,d,e,f,g亮,7段数码管全部显示,显示的数字为8。 程序代码 module bomakaiguan(out,key_in,clk); assign p='b1111; output[7:0] out=8'b; input[7:0] key_in; input clk; reg[7:0] out; always @(posedge clk) begin case(key_in) 8'b: out=8'b;

用单片机实现1位LED数码管显示0-9

单片机课程设计题目1位LED数码管显示0-9姓名陈益明 学号 班级 09电力 指导老师许丽汪厚新

目录 一:实验目的与任务…………………二:实验要求…………………………三:实验内容…………………………... 四:实验器材…………………………五:关于PLC控制LED介绍………. 六:原理图绘制说明…………………七:流程图绘制以及说明……………八:电路原理图与仿真………………九:源程序……………………………十:心得体会…………………………十一:参考文献………………………

一、实验与任务 结合实际情况,编程设计、布线、程序调试、检查与运行,完成一个与接近实际工程项目的课题,以培养学生的实际操作能力,适应生产一线工作的需要。做到能检查出错误,熟练解决问题;对设备进行全面维修。 通过实训对PLC的组成、工作原理、现场调试以及基于网络化工作模式的基本配置与应用等有一个一系列的认识和提高。 利用51单片机、1个独立按键及1位7段数码管等器件,设计一个单片机输入显示系统,要求每按一下独立按键数码管显示数据加1(数码管初始值设为0,计到9后再加1 ,则数码管显示0)。 本次设计采用12MHz的晶体振荡器为单片机提供振荡周期,外加独立按键,复位电路和显示电路组成。 二、实验要求 1掌握可编程序控制器技术应用过程中的一些基本技能。 2、巩固、加深已学的理论知识。 3了解可编程控制器的装备、调试的全过程。 4、培养我们综合运用所学的理论知识和基本技能的能力,尤其是培养我们 把理论和实际结合起来分析和解决问题的能力。适应世界生产的需要。 培养出一批既有理论知识又有动手能力的人才。 三、实验内容 1、练习设计、连接、调试控制电路; 2、学习PLC程序编程;

51单片机控制4个数码管显示

. //使用AT89c51单片机控制四个数码管动态显示0-9999 ,12MHz #include void jiayi();//加1函数 void chufa();//除法函数 void xianshi();//显示函数 void delay();//延时函数 sbit P2_0=P2^0;//个位位码 sbit P2_1=P2^1;//十位位码 sbit P2_2=P2^2;//百位位码 sbit P2_3=P2^3;//千位位码 unsigned char qianwei,baiwei,shiwei,gewei; unsigned int count=0; unsigned char code dis[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; //共阳极0-9 void main() { while(1) { jiayi(); chufa(); xianshi(); } } void chufa()//将数字的各个位拆开 { qianwei=count/1000;//千位数 baiwei=count%1000/100; //百位数 shiwei=count%100/10; //十位数 gewei=count%10; //个位数 } void jiayi() { count=count+1; if(count==10000) count=0; } void delay()//延时 { unsigned int i,j; for(i=0;i<10;i++) { for(j=0;j<200;j++); } }

开关电源实验报告

开关电源实验报告 一、开关电源电路图及清单 1.1 60W-12V开关电源电路图 图1-1 开关电源电路原理1.2.60W-12V开关电源电清单

二、开关电源介绍 开关电源大致由主电路、控制电路、检测电路、辅助电源四大部份组成。开关电源产品广泛应用于工业自动化控制、军工设备、科研设备、LED照明、工控设备、通讯设备、电力设备、仪器仪表、医疗设备、半导体制冷制热、空气净化器,电子冰箱,液晶显示器,LED灯具,通讯设备,视听产品,安防监控,LED 灯袋,电脑机箱,数码产品和仪器类等领域。它是利用现代电力电子技术,控制开关管开通和关断的时间比率,维持稳定输出电压的一种电源,一般由脉冲宽度调制(PWM)控制IC和MOSFET构成。随着电力电子技术的发展和创新,使得开关电源技术也在不断地创新。目前,开关电源以小型、轻量和高效率的特点被广泛应用几乎所有的电子设备,是当今电子信息产业飞速发展不可缺少的一种电源方式。 开关电源的发展方向是高频、高可靠、低耗、低噪声、抗干扰和模块化。由于开关电源轻、小、薄的关键技术是高频化,因此国外各大开关电源制造商都致力于同步开发新型高智能化的元器件,特别是改善二次整流器件的损耗,并在功率铁氧体材料上加大科技创新,以提高在高频率和较大磁通密度(Bs)下获得高的磁性能,而电容器的小型化也是一项关键技术。SMT技术的应用使得开关电源取得了长足的进展,在电路板两面布置元器件,以确保开关电源的轻、小、薄。开关电源的高频化就必然对传统的PWM开关技术进行创新,实现ZVS、ZCS的软开关技术已成为开关电源的主流技术,并大幅提高了开关电源的工作效率。对于高可靠性指标,美国的开关电源生产商通过降低运行电流,降低结温等措施以减少器件的应力,使得产品的可靠性大大提高。 模块化是开关电源发展的总体趋势,可以采用模块化电源组成分布式电源系统,可以设计成N+1冗余电源系统,并实现并联方式的容量扩展。针对开关电源运行噪声大这一缺点,若单独追求高频化其噪声也必将随着增大,而采用部分谐振转换电路技术,在理论上即可实现高频化又可降低噪声,但部分谐振转换技术的实际应用仍存在着技术问题,故仍需在这一领域开展大量的工作,以使得该项技术得以实用化。电力电子技术的不断创新,使开关电源产业有着广阔的发展前景。要加快我国开关电源产业的发展速度,就必须走技术创新之路,走出有中国

开关控制二极管亮灭+数码管

开关控制二极管亮灭 P1.5=1 P2.1=1 P1.5=0 P2.1=0 START: JB P1.5 , LOOP ;判断开关是断开还是闭合,条件是:P1.5是不是 等于1 CLR P2.1 ;闭合 LJMP START LOOP: SETB P2.1 ;断开 LJMP START END

START: JB P1.5 , LOOP ;判断开关是断开还是闭合,条件是:P1.5是不是 等于1 CLR P2.1 ;闭合 SETB P2.2 LJMP START LOOP: SETB P2.1 CLR P2.2 LJMP START END

START: JB P1.5 , LOOP CLR P2.1 JB P1.6 ,LOOP1 CLR P2.2 LJMP START LOOP: SETB P2.1 JB P1.6 ,LOOP1 CLR P2.2 LJMP START LOOP1:SETB P2.2 LJMP START END

Start: Jb p1.5, dianqi Clr p2.1 Loop1: Djnz r0 ,loop1 Djnz r1 ,loop1 Setb p2.1 Loop2: Djnz r0 ,loop2 Djnz r1 ,loop2 Ljmp start Dianqi: Setb p2.1 Ljmp start end

2.标注端口 4.

START: JNB P0.0 , BAOJING JB P0.1 , BAOJING SETB P1.0 SETB P1.1 clr P2.0 LJMP START BAOJING: SETB P1.0 CLR P1.1 Clr P2.0 LOOP: DJNZ R0, LOOP DJNZ R1,LOOP CLR P1.0 SETB P1.1 SETB P2.0 LOOP1: DJNZ R0, LOOP1 DJNZ R1,LOOP1 LJMP start END P1.0 P0.0 P0.1 P1.0 P1.1 P2.0

6位7段LED数码管显示

目录 1. 设计目的与要求..................................................... - 1 - 1.1 设计目的...................................................... - 1 - 1.2 设计环境...................................................... - 1 - 1.3 设计要求...................................................... - 1 - 2. 设计的方案与基本原理............................................... - 2 - 2.1 6 位 8 段数码管工作原理....................................... - 2 - 2.2 实验箱上 SPCE061A控制 6 位 8 段数码管的显示................... - 3 - 2.3 动态显示原理.................................................. - 4 - 2.4 unSP IDE2.0.0 简介............................................ - 6 - 2.5 系统硬件连接.................................................. - 7 - 3. 程序设计........................................................... - 8 - 3.1主程序......................................................... - 8 - 3.2 中断服务程序.................................................. - 9 - 4.调试............................................................... - 12 - 4.1 实验步骤..................................................... - 12 - 4.2 调试结果..................................................... - 12 - 5.总结............................................................... - 14 - 6.参考资料........................................................... - 15 - 附录设计程序汇总.................................................... - 16 -

单片机实验四 I O显示控制实验(数码管显示实验)

电子信息工程学系实验报告 课程名称:单片微型计算机与接口技术Array 实验项目名称:实验四 I/O显示控制实验实验时间: 班级: **** 姓名:**** 学号:******** 一、实验目的: 1、熟悉keil仿真软件、proteus仿真软件、软件仿真板的使用。 2、了解并熟悉一位数码管与多位LED数码管的电路结构、与单片机的连接方法及其应用原理。 3、学习proteus构建LED数码管显示电路的方法,掌握C51中单片机控制LED数码管动态显示的原理与编程方法。 二、实验环境: 1、Windows XP系统; 2、Keil uVision2、proteus系列仿真调试软件 三、实验原理: 1、LED数码管的结构和原理 LED显示器是由发光二极管显示字段的显示器件。在单片机应用系统中通常使用的是七段LED,这种显示器有共阴极与共阳极两种。 (a)共阴极LED显示器的发光二极管阴极共地,当某个发光二极管的阳极为高电平时,该发光二极管则点亮; (b)共阳极LED显示器的发光二极管阳极并接。 2、七段显示器与单片机接口:只要将一个8位并行输出口与显示器的发光二极管引脚相连即可。8位并行输出口输出不同的字节数据即可获得不同的数字或字符,如下表所示。通常将控制发光二极管的8位字节数据称为段选码。 八段选码(显示码)的推导(以共阳数码管显示C为例): 要显示C则a、f、e、d四个灯亮2.为是共阳数码管,则a、f、e、d应送0时亮3.dp-a为11000110B 3、多位数码管的显示:电路结构、动态静态两种实现原理: LED显示器有静态显示与动态显示两种方式。 (1) LED静态显示方式 各位LED的位选线连在一起接地或接+5V;每位LED的段选线(a-dp)各与一个八位并行口相连; 在同一时间里每一位显示的字符可以各不相同。

单片机课设数码管显示滚动控制

《单片机设计与实训》 设计报告 题目:数码管滚动显示控制姓名:王伟杰 班级:自动化四班 学号: 2014550430 指导老师:张莹 提交日期: 2016年10月29日

目录 一、设计题目与要求 (4) 1.1设计题目 (4) 1.2设计要求 (4) 二、系统方案设计 (4) 2.1硬件电路设计 (5) 1.单片机最小系统简介 (5) 2.数码管显示电路 (7) 2.3硬件选型及说明 (8) 1. ST89C51单片机 (8) 2. 四位一体七段共阴极显示数码管 (10) 三、系统原理图设计与仿真 (11) 3.1系统仿真图 (11) 3.2系统仿真结果 (12) 四、程序设计 (13) 4.1程序设计 (13) 4.2程序流程图 (15) 五、系统调试 (16) 5.1系统硬件调试 (16) 5.2系统软件调试 (16) 六、总结与体会 (17)

附录一 (19) 附录二 (20) 附录三 (34)

一、设计题目与要求 单片机课程设计是一门实践课程,要求学生具有制作调试单片机最小系统及外设的能力,能够掌握单片机内部资源的使用。单片机课程设计内容包括硬件设计、制作及软件编写、调试,学生在熟练掌握焊接技术的基础上,能熟练使用单片机软件开发环境Keil C51编程调试,并使用STC ISP调试工具采用串口下载方式联调制作的单片机最小系统。单片机课程设计题目包含基本部分及扩展部分,基本部分即单片机最小系统部分,扩展部分是对单片机内部资源及外部IO口的功能扩展,使制作的单片机系统具有一定的功能。 1.1设计题目 数码管滚动显示控制 1.2设计要求 自制一个单片机最小系统,包括串口下载、复位电路,采用两个四位一体数码管作为显示器件,通过按钮选择实现四种滚动显示模式,例如从左至右,从右至左,内缩,外扩等,滚动信息可以是数字或有意义的英文字符。 二、系统方案设计

开关控制数码管的VHDL程序的设计与实现

开关控制数码管的VHDL程序的设计与实现 摘要本设计是利用所学过的电子线路课程知识,利用Quartus II软件,结合所学知识设计一个,具有使用开关控制数码管功能。文章分析了整个电路的工作原理,还说明了各程序模块的功能,并对最终结果就行了总结。通过此次设计加深了对课程的理解,掌握了一些基本逻辑器件的功能和使用方法。本设计通过软件设计电路,方便快捷,避免了硬件布线的繁琐,提高了效率。 关键词开关;数码管; VHDL程序 Abstract Th is design is to use the learned electronic circuit course knowledge, use Quartus II software, combined with the design of a knowledge, which has the function of digital switch control tube. This paper analyzes the whole electric circuit principle of work, also that the apps modules of the system, and the final results will do summary. Through this design deepened to the understanding of the course and master the basic logic devices of some function and use. This design convenient and quick, avoid the hardware wiring trival, improve efficiency through the software design circuit. Keyword Switch;Digital tube;VHDL program 1 前言 VHDL是一种应用广泛的硬件描述语言,设计者可以通过它编写代码,通过模拟器仿真验证其功能,完成逻辑综合与逻辑优化,最后通过下载到相应的可编程逻辑器件(如FPGA)中来实现设计。本设计是利用Quartus II软件,采用VHDL语言设计一个用8个开关对应8个数字显示。按sw0到sw7可以显示1到8的数值,并在选择开关时发出声响。完成后下载到实验箱,实现设计功能。 1.1 Quartus II简介 Max+plus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,该软件有如下几个显著的特点: 1、Quartus II 的优点 该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 2、Quartus II对器件的支持

开关稳压电源__实验报告

开关稳压电源 1.方案论证 本设计是根据本次电子竞赛题目的基本要求所制作的开关稳压电源,系统分为AC-DC变换电路、DC-DC变换电路、数字设定与显示电路、保护和测量电路等四部分。现对系统重要部分作方案论证。 1.1 DC-DC主回路拓扑的选择 根据题目要求DC-DC变换器由以下两种方案可实现:1)采用Boost型拓扑结构变换器实现;2)采用推挽型拓扑结构变换器实现。 Boost变换器容易实现,且技术成熟;推挽变换器中可能出现单向偏磁饱和,容易使开关管损坏。经比较,决定主回路拓扑结构采用Boost型拓扑结构变换器。 1.2 控制方法 方案一脉冲宽度控制脉冲宽度控制是指开关工作频率(即开关周期)固定的情况下直接通过改变导通时间来控制输出电压大小的一种方式。因为改变开关导通时间就是改变开关控制电压的脉冲宽度,因此又称脉冲宽度调制(PWM)控制。 方案二脉冲频率控制脉冲频率控制是指开关控制电压的脉冲宽度不变的情况下,通过改变开关工作频率(改变单位时间的脉冲数,即改变T)而达到控制输出电压大小的一种方式,又称脉冲频率调制(PFM)控制。 PWM控制方式因为采用了固定的开关频率,因此,设计滤波电路时就简单方便,而脉冲频率控制方式开关频率不确定,滤波电路较复杂,对硬件要求高。所以采用方案一作为控制方法。 1.3提高效率的方法 提高开关电源的效率方法:(1)采用软开关PWM变换控制技术提高效率; (2)改进驱动电路及优选参数提高效率; (3)改进缓冲吸收电路及参数选取提高效率;(4)改进磁性部件的设计提高效率;(5)正确选取功率器件,降低损耗提高效率等。

本设计采用提高效率的方法有:(1)改进缓冲吸收电路及参数选取提高效率; (2)改进磁性部件的设计提高效率;(3)正确选取功率器件,降低开关损耗提高效率。 具体:{ 通过提高工作频率,让工作频率达到100KHZ; 选用小导通电阻、高开关速度的MOSTET,降低MOSFET开关损耗。选用了IRF640(VDSS=200 V,RDS(on)< 0.18 ,ID=18 A) 选用快速恢复整流二极管,减少反向导通时间,减少损耗。选用了肖特基二极管RHRP15120,恢复时间trr < 65ns。} 以过验证,本设计的系统原理框图如图1-1: 图1-1 系统原理框图 220V交流电压经整流滤波后,得到约89V的直流电压加到DC-DC变换器上,用脉宽调制电路产生的双列脉冲信号去驱动场效应管,通过功率变压器的耦合和隔离作用在次级得到准方波电压,经整流滤波反馈控制后可得到稳定的直流输出电压。 2.电路设计 2.1 主回路电路原理图 Boost变换器是DC-DC变换器中、最易于实现的、最常用的、最成熟的和输出电压等于或小于输入电压的非隔离型变压电路,且输入与输出负端是公共端。原理图如下:

4位拨动开关控制数码管显示系统设计

课程设计说明书 课程名称:《单片机技术》 设计题目:4位拨动开关控制数码管显示系统设计院(部):电子信息与电气工程学院 学生姓名: 学号:2010020400 专业班级:2010级电气工程及其自动化 指导教师:申庆超 2013年 5 月17 日

课程设计任务书

4位DIP开关控制数码管显示系统设计 摘要:以单片机AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。电路由电源模块、复位模块、时钟模块、显示模块等。它由5V直流电源供电,用拨动开关的低四位为输入,控制输出端数码管显示器的输出。用编程语言编写程序,系统能够实现如下功能:上电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字或字母“0”-“F”。 关键词:4位拨动开关;单片机;共阳极数码管;编程语言

目录 1. 设计背景 (1) 1.1单片机设计背景 (1) 1.2设计目的 (1) 2.设计方案 (2) 2.1方案一 (2) 2.2方案二 (2) 2.3方案三 (3) 3.方案实施 (3) 3.1系统组成框图 (4) 3.2输入输出电路设计 (4) 3.3时钟电路与复位电路设计 (5) 3.4电源电路设计 (6) 3.5程序设计 (6) 3.6仿真结果 (7) 4.结果与结论 (9) 4.1结果 (9) 4.2结论 (9) 5. 收获与致谢 (10) 6. 参考文献 (10) 7.附件 (11)

1. 设计背景 1.1单片机设计背景 目前单片机渗透到我们生活的各个领域。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。 1.2设计目的 在理论学习的基础上,通过完成一个单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,能够增强我们理论联系实际的能力,进一步熟练相关专业基础知识的综合应用,提高实际动手能力和设计能力。对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到全面的锻炼和提高。

开关电源实验指导

开关电源技术实验指导书 信息工程学院电气及自动化教研室 2009.04.18

实验一电流控制型脉宽调制开关稳压电源研究 一.实验目的 1.掌握电流控制型脉宽调制开关电源的工作原理,特点与构成。 2.熟悉电流控制型脉宽调制芯片UC3842的工作原理与使用方法。 3.掌握开关电源的调试方法与参数测试方法。 二.实验内容 1.利用芯片UC3842,连接实验线路,构成一个实用的开关稳压电源电路。 2.芯片UC3842的波形与性能测试 (1)开启与关闭阀值电压。 (2)锯齿波,包括周期、占空比、幅值等,并与理论值相比较。 (3)不同负载以及不同交流输入电压时的输出PWM波形,并与正确波形相对比。 (4)反馈电压端(即UC38422号脚)与电源端(即7号脚)波形。 (5)输出PWM脉冲封锁方法测试。 3.开关电源波形测试 (1)GTR集电极电流与集-射极电压波形。 (2)变压器原边绕组两端波形。 (3)输出电压V O波形。 4.开关电源性能测试 (1)电压调整率(抗电压波动能力)测试。 (2)负载调整率(抗负载波动能力)测试。 (3)缓冲电路性能测试。 三.实验系统组成及工作原理 电源装置是电力电子技术应用的一个重要领域。其中高频开关式直流稳压电源由于具有效率高,体积小和重量轻等突出优点,获得了广泛的应用。 开关电源的控制电路可分为电压控制型和电流控制型。前者是一个单闭环电压控制系统,后者是一个电压、电流双闭环控制系统,电流控制型较电压控制型有不可比拟的优点。 具体实验原理可参见附录。 具体线路见图5—4。 四.实验设备和仪器 1.MCL-08直流斩波及开关电源实验挂箱 2.双踪示波器 3.万用表 五.实验方法

利用拨码开关控制数码管进行十六进制数字显示

中北大学 课程设计说明书 学生姓名:王白军学号:0706024150学院: 电子与计算机科学技术学院 专业: 微电子学 题目: 利用拨码开关控制数码管进行十六进制数字显示 指导教师:王红亮职称: 讲师 2010 年 6 月 25 日

目录 1. 课程设计目的 (2) 2. 课程设计内容和要求 (2) 2.1 设计内容 (2) 2.2 设计要求 (2) 3. 设计方案及实现情况 (2) 3.1 设计思路求 (2) 3.2 工作原理及框图 (2) 3.3 模块功能描述 (4) 3.4 仿真结果 (5) 3.5 实验箱验证情况 (5) 4. 课程设计总结 (8) 5. 参考文献 (8)

1.课程设计目的 (1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。(2)掌握组合逻辑电路、时序逻辑电路的设计方法。 (3)学习掌握可编程器件设计的全过程。 2.课程设计内容和要求 2.1设计内容 利用拨码开关控制数码管进行十六进制数字显示。 2.2设计要求 (1)学习掌握拨码开关控制模块、数码管的工作原理及应用; (2)熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑; (3)仿真所编写的程序,模拟验证所编写的模块功能; (4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示; (5)整理设计内容,编写设计说明书。 3.设计方案及其实现 3.1 设计思路 由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。通过对不同管脚的点亮,使数码管显示16进制的每个数字。可以用四个拨码开关组合成4位BCD以表示16进制。当四位BCD变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。 3.2 工作原理及框图 七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。由于只需要16个数的显示。那么拨码开关只需用四个就可以实现16个数字的表示。

按键控制1位LED数码管显示0-9

单片机课程设计 姓名:陈素云 班级:09电力方向2班学号:200920305340

设计题目: 按键控制1位LED数码管显示0-9 设计要求: 通过单片的I/O口与LED数码管所构成的单片机系统的软件编程,使学生掌握简单的单片机系统的设计,同时初步学全用汇编语言和C语言两种方式编程的基本方法。学生必须采用单片机AT89C51为LED显示屏的控制为核心,分别置“1”或“0”,让某些段的LED 发光,其它的熄灭,然后达到显示不同的字符和图符号的目的. 学生根据前期设计的步骤按照设计报告内容的具体要求,选择前期设计的一个典型题目,写出详尽的课程设计报告,重点内容包括方案论证、完整的电路图、软件系统流程图及开发程序、组装调试内容和总结等。

目录 第1节引言 (3) 1.1 LED数码显示器概述 (3) 1.2 设计任务 (5) 1.3设计目的 (6) 第2节AT89C51单片机简介 (6) 2.1 AT89C51单片机 (6) 2.2 单片机管脚图 (7) 2.3管脚说明 (7) 2.4振荡器特性 (9) 第3节设计主程序与硬件电路设计 (9) 3.1设计的主程序 (10) 3.2系统程序所需硬件 (10) 3.2.1所需的硬件 (10) 3.2.2所需硬件的结构图 (11) 3.3 硬件电路总连接图 (12) 第4节程序运行过程 (12) 4.1分析步骤 (12) 4.2 程序执行过程 (13) 第5节程序运行结果 (13) 总结 参考文献

第1节引言 还记得我们小时候玩的“火柴棒游戏”吗,几根火柴棒组合起来,能拼成各种各样的图形,LED数码管显示器实际上也是这么一个东西。在单片机系统中,常常用LED数码数码管显示器来显示各种数字或符号。LED 数码显示器是单片机嵌入式系统中经常使用的显示器件。一个“8”字型的显示模块用“a、b、c、d、e、f、g、h” 8 个发光二极管组合而成。每个发光二极管称为一字段。LED 数码显示器有共阳极和共阴极两种结构形式。由于它具有显示清晰、亮度高、使用电压低、寿命长的特点,因此使用非常广泛。 1.1 LED数码显示器概述 八段LED数码管显示器由8个发光二极管组成。基中7个长条形的发光管排列成“日”字形,另一个贺点形的发光管在数码管显示器的右下角作为显示小数点用,它能显示各种数字及部份英文字母。LED数码管显示器有两种不一样的形式:一种是8个发光二极管的阳极都连在一起的,称之为共阳极LED数码管显示器;另一种是8个发光二极管的阴极都连在一起的,称之为共阴极LED 数码管显示器。如下图所示。` 共阴和共阳结构的LED数码管显示器各笔划段名和安排位置是相同的。

相关主题
文本预览
相关文档 最新文档