当前位置:文档之家› 多路智力竞赛抢答器

多路智力竞赛抢答器

多路智力竞赛抢答器
多路智力竞赛抢答器

黄冈师范学院

本科生毕业论文

题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321

学生姓名:叶林飞

指导教师:刘珊

论文完成日期: 2015 年 5 月

郑重声明

本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。

毕业论文作者(签名):

年月日

目录

1 绪论 (3)

1.1研究目的与意义 (3)

1.2研究内容 (3)

2电路原理设计 (4)

2.1 八路抢答电路设计 (6)

2.2定时电路设计 (9)

2.3声响电路的设计 (14)

3 Multisim仿真与制作 (16)

3.1抢答仿真 (16)

3.2定时抢答仿真 (18)

3.3超时抢答仿真 (19)

3.4仿真结果分析 (20)

结束语 (21)

附录 (22)

附录一:元件清单 (22)

附录二:总电路图 (23)

参考文献 (24)

致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊

摘要

随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。

本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。

关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

The Principle and Design of Digital Circuit Based on Multi Intelligence Contest Responder

Speciality: Electronic Information Science and Technology Class: 1103

Author: Ye Lin-fei Tutor: Liu Shan

Abstract

With the continuous development of society, more and more urgent demand for talent, and in the college students learn science, science technology, many kinds of means of knowledge diversity, knowledge contest activities such as more frequent, and the competition is divided into several groups to participate in, then the answer to the issues raised by the host. If you let the responder by hand, because the unfair competition caused by subjective judgment. The game in order to accurately judge, justice, first responder, it cannot do without responder. As a kind of electronic products, in the market a lot, but the price is expensive, can according to the specific circumstances of changing some parameters, such as reaction time, the problem's increase is not good solution. According to the actual need to design a simple digital vies to answer first, so that not only save the cost, it can satisfy the actual need.

This paper introduces a responder same time for eight players of the game, the design of the digital vies to answer first by the priority encoder circuit, latch, decoding circuit, display circuit, timing circuit and control circuit, can achieve 8 contestant vies to answer first, meet the requirements of the school students knowledge contest.

Keywords: Digital circuit; Responder circuit ; The countdown circuit ; Alarm circuit ; The second pulse generating circuit

1 绪论

1.1研究目的与意义

为了丰富学生的课余生活和拓宽学生的知识面,学校举行了很多丰富多样的有趣的知识竞赛,这样抢答器就是必须的设备,因此自己设计和制作了数字抢答器。本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。

随着各种智力竞赛越来越多,在答题的过程中一般要分为必答和抢答两种。必答有时间的限制,到时间要警告。而抢答则要求参赛者做好充分的准备,等主持人说完题目,参赛者开始抢答,谁先按钮,就由这个参赛者答题,但是很难确认谁先按的,因此使用抢答器来完成这一功能是很有必要的。

1.2研究内容

本设计是一个可供八个人抢答的多路抢答器。可以显示优先抢者的序号,并同时有音响提示。并具有倒计时功能。当锁定时间到了的时候会有音响提示。当一次抢答完毕,可由主持人按复位键重新开始下一次抢答。

要求完成的主要任务:

基本功能

①设计一个多路智力竞赛抢答器,可以同时供8名选手或8个代表队参加比赛,他们

的编号分别是0、1、2、3、4、5、6、7,各使用一个抢答按钮,按钮的编号与选

手的编号相对应,分别是J

0、J

1

、J

2

、J

3

、J

4

、J

5

、J

6

、J

7

②给节目主持人设置一个开始开关,用来控制系统的清零(编号显示数码管灭灯,

不清除倒计时的预置数)和抢答的开始。

③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,该编号立

即锁存,并在数码管上显示出选手的编号,同时绿LED亮,扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。

④抢答开始后,若倒计时电路计时到零,期间无选手抢答,此时封存输入电路,禁

止此后选手抢答。

扩展功能

①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定,节目主持

人按下“开始”(S1)按钮后,要求定时器立即倒计时,并在显示器上显示,

同时扬声器发出短暂声响,声响持续0.5S左右。

②参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示手

的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,

并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。

2电路原理设计

抢答器原理:

抢答器基本原理框图如图2-1所示:

图2-1 抢答器基本原理框图

抢答者按下抢答按钮后,启动(清零锁存器)锁存电路,锁存最先抢答者抢答成功的状态,并阻止其他选手的抢答。译码显示电路将抢答的结果译码显示出来。清零(S1)按钮按下后,电路复位回初始状态后,可以进行下一轮的抢答。

总体方案设计:

第一种方案:

第一种方案流程图如图2-2所示:

图2-2 第一种方案流程图

抢答按钮连接控制电路,抢答开始(键入S1),有选手按下抢答按钮后,控制电路是锁存器锁存各个抢答路的电平高低,从而锁存了第一位抢答者的抢答信号,同时禁止其他抢答者的抢答信号,阻止其他选手的抢答。编码器将抢答结果译成二进制数送给下一级译码显示电路,译码显示电路显示抢答成功者的号码。

声响电路可以发出提醒声响,定时电路有定时抢答功能,并能显示倒计时的时间。

该方案满足设计的功能要求,但是用锁存器锁存抢答结果这一思路有缺陷,就是可能有两个选手抢答时间间隔很小,两路的抢答信号同时锁存了起来,导致编码器编码出错,下一级译码显示电路不能显示抢答结果。

第二种方案:

第二种方案流程图如图2-3所示:

第二种方案的原理和第一种方案基本一样,只是在第一种方案上做了改进,在锁存器和抢答按钮之间增加了优先编码器,避免了多路的抢答信号同时被锁存,编码器编码出错,下一级译码显示电路不能显示抢答结果的情况。

综合两种方案,故选择第二种方案。

2.1 八路抢答电路设计

该部分用到的芯片有74LS47、74LS279、74LS148,其引脚图和功能表如下[7]:

74LS47(七段显示译码管)的引脚图,功能表分别如下:

图2-4七段译码管引脚图

图2-5 74LS47功能表

74LS279(锁存器)的引脚图和特性表分别如图[8]

74LS148(优先编码器)的引脚图如图2-8:

74LS148的功能表如图2-9:

图2-9 优先编码器功能表

图2-6 锁存器引脚图

图2-8 优先编码器引脚图

图2-7 锁存器特性表

电路抢答部分选用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。

工作过程:开关S1键入一次后,两片74LS279芯片中使用的四个锁存器的R(reset清零)端置0,即四个相应输出端Q置0,其中高位的74LS279的Q2与74LS148的使能输入端EI__连接,使优先编码器处于工作状态[2]。当有选手将抢答按键按下时(如按下J6),74LS148的GS 端输出为0,经RS锁存后,高位Q2=1,BI/RBO =1,七段显示电路74LS47一直处于工作状态,DCBA=0110,经译码显示为“6”。此外,高位Q2=1,使74LS148 优先编码引脚5工作标志端(使能端)EI=1,处于禁止状态,封锁其他按键的输入。当选手按键松开时,此时由于优先编码器74LS148的工作标志端(使能端)引脚5电平为1(禁止电平),所以74LS148仍处于禁止状态,其输出端都为高电平(无效电平),致使两片锁存芯片的R、S都为1(无效电平),使锁存器处于保持状态(hold),即数码显示管仍然显示原来的选手编号。确保不会出现二次按键时有输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S1开关重新键入一次(置“清除”)然后再进行下一轮抢答。

电路原理图如图2-10:

2.2定时电路设计

该部分用到的芯片除74LS47外、还用到74LS192、74LS90、LM555。 74LS192引脚图如2-11:

图2-11 74ls192引脚图

图2-10 八路抢答电路原理图

74LS192的功能表如图2-12

74LS90的引脚图和功能表分别如下:

定时芯片LM555引脚图如下图2-15:

图2-12 74ls192的功能表

图2-13 74ls90引脚图

图2-15 定时芯片LM555引脚图

2-14 74ls90功能表

另外用到的或门芯片74LS32,或门芯片74LS08,或非门芯片74LS00引脚图如下:

秒脉冲的产生电路如图2-19所示,由LM555构成的多谐振荡器构成[3]。 脉冲频率计算:

如右边电路图,R 13=10k , R 14=68k ,C 1=10uF ,

f=1/0.7×(10k+2×68k )×10×10-6≈1S 。

图2-16 74LS32引脚图

图2-17 74LS08引脚图

图2-18 74LS00引脚图

()1

141327.01C R R f +=

()1

141327.0C R R T +=

周期1S的时钟脉冲经右图的U15B(74LS00)和U15D(74LS00)送到74LS192的4引脚(DOWN),使74LS192减计数,达到倒计时的效果。U15B(74LS00)或非门的另一个输入端接秒高位74LS192的13引脚(BO)。

如果定时抢答时间已到而没有选手抢答,13引脚(BO)输出低电平,LM555的时钟脉冲不能通过U15B(74LS00,与非门被禁止),74LS192由于没有时钟脉冲输入,停止减计数,时间显示(00)静止不动。

如果中途有选手抢答,锁存芯片U2B(74LS279)的13引脚(Q2)输出高电平,经U15C(74LS00,与非门,输入结连相当于非门)反相成低电平0,输入到U15D(74LS00,与非门被禁止)的其中一端,使时钟脉冲不能通过U15D(74LS00),减计数器74LS192由于没有时钟脉冲输入,停止减计数,时间显示静止不动。

本设计增加了可设定定时长短的电路,由两片74LS90构成的加计数器,电路如图2-20。.

图2-20 预置时长原理图

J10为清零按钮按下后74LS90的R01、R02、R91置高电平(均为有效电平),两个74LS90清零。

S2为加时间按钮,按下后给U8(74LS90)的14引脚(INA )下降沿,计数加一,具体键

入次数由题目难易程度而定,该倒计时长应在键入S1之前设定。当主持人的S1键入一次时, U9和U10的11引脚(LOAD,低电平有效)为低电平,故74LS192N 置位,即两片芯片的QA~QD 分别等于U7和U8的QA~QD ,数码管显示的是正在设定的时间。

主持人将S1放开后,LOAD 置高电平,U9和U10从开始前显示的时间开始倒计时。主持人将S1再次键入时,时间复位到原来设定的时间数,同时两片锁存器芯片被清零,新的一次抢答开始。

定时电路总的电路图如下图2-21所示:

该部分主要由555定时器秒脉冲产生电路、74LS90N 十进制加法时间设定电路、十进制同步加减计数器74LS192减法计数电路、74LS47译码电路和2个7段数码管即相关电路组成。两块74LS192实现减法计数,通过译码电路74LS47显示到数码管上,其时钟信号由时钟产生电路提供。74LS192的预置数由两个74LS90构成的计数器实现预置数,由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。S1按键弹起后,计数器开始减法计数工作,并将时间显示在共阳极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时, 输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。

图 2-21 总定时电路原理图

2.3声响电路的设计

声响电路如下图2-22所示,主要由单稳态触发器SN74121和蜂鸣器组成。

蜂鸣器接上电源就能发出声音,相比于使用扬声器而言,省去了音频振荡电路,使电路更加简单可靠。其中R18=100K 、C15=10uF ,单稳态触发器触发后的暂态持续时间大约是0.5S ,也就是蜂鸣器持续发出声响时间是0.5S [4]。

SN74121引脚图和功能表分别如下:

SN74121的5引脚(B )接S1的一端,当主持人键入S1时,5引脚(B )电平由高电平立即变为低电平,而后S1弹起,5引脚(B )电平由低电平立即变为高电平,有一个上升沿,触发器触发,蜂鸣器发出声响[5]。

U6A(74LS08)输出端接SN74121的3引脚(1A ),其输入端接U3(74LS148)的14引脚(GS ),即SN74121的3引脚(1A )与74LS148的14引脚(GS )连接(与门U6A 只起时延作用)抢答开始

图2-24 SN74121功能表

图2-23 SN74121引脚图 图2-22 声响电路原理图

A)为高电平,当有选手按下按钮后,U3(74LS148)后,SN74121的5引脚(B)、4引脚(2

的14引脚(GS)输出一个负脉冲,触发器触发,蜂鸣器发出声响。与门U6A(74LS08)起到时延的作用,保证电路的时序的正确性,使电路能正常工作。

A)接秒高位的74LS192的13引脚(BO),没有选手抢答时,当SN74121的4引脚(2

定时抢答时间到时, SN74121的5引脚(B),3引脚(1

A)为高电平,秒高位的74LS192

A)有一个下降沿,触发器触发,蜂的13引脚(BO)输出低电平,使SN74121的4引脚(2

鸣器发出声响。

电路图中的DCD_BARGRAPH作用是仿真时能看到蜂鸣器两端电压的高低,用于辨别蜂鸣器是否发出声音。

抢答器用的是七段共阳的数码管[6],其原理图如图2-25:

图2-25 七段共阳数码管

3 Multisim 仿真与制作

3.1抢答仿真

1.主持人开关S1置于停止位时,按下其中一个抢答按钮。效果截图如下图3-1:

可以看到支持人把S1停止位,选手抢答无效,数码管保持灭灯。

2.主持人开关S1置于开始位后,先按下其中一个抢答按钮J1,随后按下另外任一抢答按钮。效果截图如下图3-2:

图3-1 未开始时选手抢答仿真图

图3-2 1号选手成功抢答仿真图

的功能。DCD_BARGRAPH亮,说明抢答成功后有声响提示,提示时间约0.5S。

3.主持人开关S1置于开始位后,先按下其中一个抢答按钮J6,随后按下另外任一抢答按钮。效果截图如下图3-3:

数码管显示“6”,按下另一抢答按钮后,数码管示数不变,具有禁止其他选手抢答的功能。DCD_BARGRAPH亮,说明抢答成功后有声响提示,提示时间约0.5S。

4.主持人开关S1置于开始位后,先按下其中一个抢答按钮J7,随后按下另外任一抢答按钮。效果截图如下图3-4:

图3-3 6号选手成功抢答仿真图

图3-4 7号选手成功抢答后其他选手再次抢答仿真图

智能抢答器的设计与实现

课程设计任务书 学生姓名:王双双专业班级:通信1105 指导教师:李政颖工作单位:武汉理工大学 题目: 智能抢答器的设计与实现 初始条件: 本设计既可以选用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21 和其它器件等,实现八路定时抢答功能;又可以使用单片机系统构建多路数字定时抢答器。要求 用蜂鸣器和光电二极管作声光报警器件,工作电源Vcc为+5V。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的编号 与选手的编号相对应;给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并 在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器进行显示,同时扬声器发出短暂的声 响,声响持续时间0.5秒左右。 4)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和 抢答时刻的时间,并保持到主持人将系统清零为止。 5)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁 输入电路,禁止选手超时后抢答,定时显示器上显示00。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 5 月18 日至2013 年6 月20 日,方案选择和电路设计。 3、2013 年6 月21 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月2日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

多路智能竞赛抢答器设计

课程设计任务书 学生:专业班级: 指导教师:工作单位: 题目: 多路智能竞赛抢答器设计 初始条件:74LS48 3片,74LS279 1片,74LS1481片,74LS192 2片,74LS90 2片,LM555 1片,74LS121 1片,74LS00 1片,74LS32 1片,74LS08 1片,电阻若干,电容3个,开关11个,蜂鸣器1个,LED 2个,三极管2N3096 1个,导线若干。 要求完成的主要任务: 1.基本功能 ①设计一个智力竞赛抢答器,可以同时供8名选手或8个代表队参加 比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示 数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按 钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 2.扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定, 档节目主持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂声响,声响持续0.5S左右。

②参赛选手在设定的时间抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。时间安排: 第19周理论设计、实验室安装调试,地点:鉴主17楼九号实验室 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

数字电子技术课设:多路智力竞赛抢答器

《数字电子技术》课程设计报告设计题目:多路智力竞赛抢答器 姓名: 学号: 班级: 同组姓名: 指导老师: 成绩: 设计时间:2017.6.9~2017.6.18

摘要: ,对学生进行综合性训练的一个实践性教学环节。主要目的是培养学生综合运用理论知识能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力,了解数字电子电路的一般设计方法,初步掌握数字电子线路安装、布线、焊接、调试等基本技能,熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力,掌握组装、调试方法。 关键词: 抢答器、抢答电路、定时电路、报警电路、555定时器

一、设计任务与要求 1.设计题目 多路智力竞赛抢答器 2.功能要求 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也 分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号 立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答,同时计数器显示此时的时间。抢答选手的编号一直保持到主持人将系统清零为止。 (4) 抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时, 定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。(5) 如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警,并封锁输入 编码电路,禁止选手超时后抢答,时间显示器显示0。 (6) 用555定时器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 设计步骤及要求: 3.设计步骤及要求 (1)给出定时抢答器的组成框图; (2)设计并焊接安装各单元电路,要求布线整齐、美观,便于级联与调试; (3)测定定时抢答器的逻辑功能,以满足设计功能要求; (4)画出定时抢答器的整机逻辑电路图; (5)按照要求写出设计报告。 二、方案设计与论证 2.1方案一、 系统电路由四部分电路组成:抢答电路、定时电路、报警电路、时序控制电路。

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

多路智能竞赛抢答器设计 数字电路课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多路智能竞赛抢答器设计 初始条件: 优先编码器 74LS148 RS锁存器 74LS279 显示译码器 74LS47 定时芯片 555 计数器 74LS192 74LS90 与门 74LS08 或门 74LS32 与非门 74LS00 七段数码管、蜂鸣器、电容电阻若干 要求完成的主要任务: 1.基本功能 ①设计一个智力竞赛抢答器,可以同时供8名选手或8个代表队参加比赛,他 们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的 编号相对应,分别是S 0、S 1 、S 2 、S 3 、S 4 、S 5 、S 6 、S 7 。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 2.扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定,档节目主持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂声响,声响持续0.5S左右。 ②参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。 时间安排: 7.4:理论设计 7.5~7.6:安装调试或仿真 7.7:撰写报告 7.9:答辩 指导教师签名:2011年7月1日 系主任签名: 2011年 7 月 1 日

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

多路智力竞赛抢答器设计实验报告材料

课程设计报告

多路智力竞赛抢答器设计 目录 一前言 (1) 1设计内容及要求 (1) 2实验内容及方法 (2) 3工作过程简介 (2) 二、正文 (4) 1系统概述 (4) 2单元电路设计方案和原理说明 (4) 抢答器电路设计 (4) 定时电路设计 (6) 3电路的安装与调试 (8) 4心得与体会 (9) 三、元器件明细表 (10) 四、参考文献 (10)

前言 一、设计内容及要求: 设计内容:本课题要求设计一台可供8名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间。 (2)参赛选手在设定时间(30秒)内抢答,抢答有效,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效,系统封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

二、实验内容及方法 1.组装调试抢答器电路。 2.设计可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。 3.完成定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。 三,工作过程简介 定时抢答器的总体框图(如图1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

多路智力竞赛抢答器的设计与制作

电子线路设计与制作(四/1) 多路智力竞赛抢答器的设计与制作 设计者:陈湘宝赵静 一、设计要求 1、题目:多路智力竞赛抢答器的设计与制作 2、实验目的: 能在设计与制作实验的过程中,结合所学理论知识,进行电子应用电路的设计、组装与调试,以此来学会测试中规模集成电路的逻辑功能。做到:——能查阅手册,了解常用中规模集成电路的逻辑功能。 ——会用中规模集成电路设计出一定功能的组合逻辑电路。 ——能用中规模集成电路及其基本门电路制作出多路抢答器。掌握使用数字电路集成芯片设计一个多路智力竞赛抢答器电路的方法和实践技能,为以后从事生产和科研工作打下坚实的基础。 3、设计内容及要求: (1)基本设计内容 试用中小规模集成电路设计并制作一各多路智力抢答器,指标要求如下: 1.8名选手编号为;1、2……8,各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1、2……8。 2.给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4.振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 (2)设计要求 1.根据基本设计任务的要求,选择抢答器电路设计方案。 抢答器的一般构成框图如图1.1所示。它主要由开关阵列电路、触发锁存电路、编码器、7段显示器几部分组成。 2.设计电路并计算所用元件的参数值,画出多路智力抢答器的原理电路图。 3.安装所设计的电路,按照多路智力抢答器的调试步骤,逐步进行调整与功能测试。 4.撰写实验报告

四人智力竞赛抢答器课程设计报告

一、设计题目 四人电子抢答器 二、设计功能 1.基本功能 (1)抢答器同时供4名选手比赛,分别用4个按钮key5~key8表示。 (2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动"开始"键后,定时器进行减计时。 (2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效, 3.自主功能 (1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。 (2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。 (3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。 (4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。 三、原理电路设计:

1、方案 抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示主持人电路: (1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 (2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下 (3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T 触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。 显示电路和分频电路上课老师讲的很具体明了就不一一详叙。 2、单元电路设计 (1)、锁存器电路的设计 锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下: 锁存器的单元电路设计如下:

数电多路抢答器设计

目录 一、设计目的以及要求 二、工作原理概述 三、系统流程方框图 四、各部分原理介绍 4.1 抢答器部分 4.2 倒计时电路部分 4.3 蜂鸣器报警电路部分 五、multisim调试过程与调试结果 5.1 抢答器调试 5.2 倒计时调试 5.3 蜂鸣器调试 六、设计结论 七、设计心得与总结 八、参考文献 九、成员贡献

一、设计目的以及要求 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天的趋于完善不但可以用来倒计时抢答,还兼具报警,计分显示等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求是酒无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样话,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了八路智力竞赛抢答器的设计。 设计要求:设计一个多路抢答器,可供8名选手进行抢答;

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名: XXX 学号: XXXXXXXXXX 专业班级:信息XXX 题目数字式竞赛抢答器 指导老师:

、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD )的出现,使得电子系统的设计者利用 EDA (电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC )器件。可编 程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、 用PIC设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的 抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答 器基于Verilog语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,也提高了系统的整体性 能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义:数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要 求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代 电子技术发展的要求。 实现方案 设计要求: 1设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别, 扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减 1分。 6、设置犯规电路,对超时答题(例如1分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别,该轮该选手退出,由裁判员重新发令,其他人再抢答。 此设计问题可分为第一信号鉴别、锁存模块,答题计时电路模块,计分电路模块和扫描 显示模块四部分。 第一信号鉴别锁存模块的关键是准确判断出第一抢答者并将其锁存,在得到第一信号后,将输入端封锁,使其他组的抢答信号无效,可以用触发器或锁存器实现。设置抢答按钮 K1、K2、K3、K4,主持人复位信号judge,蜂鸣器驱动信号buzzout。judge=O时,第一信号鉴别、锁存电路、答题计时电路复位,在此状态下,若有抢答按钮按下,鸣笛示警并显示犯规组别;judge=1时,开始抢答,由第一信号鉴别锁存电路形成第一抢答信号,进行组别显示,控制蜂鸣器发出声响,并启动答题计时电路,若计时时间到,主持人复位信号还没有按下,则由蜂鸣器发出犯规示警声。 计分电路是一个相对独立的模块,采用十进制加/减计数器、数码管数码扫描显示,设 置复位信号Reset、加减分信号add_min,加减分状态键key_state, Reset=0时所有得分回到 起始分(5分),且加、减分信号无效;Reset=1时,由第一信号鉴别、锁存电路的输出信号 选择进行加减分的组别,当key_state=1时,按一次add_min,第一抢答组加1分;当key_state=O

相关主题
文本预览
相关文档 最新文档