当前位置:文档之家› 出租车计费系统的设计好

出租车计费系统的设计好

出租车计费系统的设计好
出租车计费系统的设计好

1.所选题目:出租车计费器

2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下:

(1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。

(2)现场模拟汽车的启动、停止、暂停和换挡等状态。

(3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。

3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。

4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下:

5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。

6.具体设计流程:

(1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。

计费时钟

档位

启动

控制模块

显示模块

暂停

停止

(2)当超出起步价时就自动在起步价的基础上每增加一个100m脉冲就增加相应的费用,而当总里程达到或超过一定的数值时,能按新的收费标准进行收费。(3)译码/动态扫描电路模块将路程与费用的数值译码后用动态扫描的方式驱动6只数码管,即所连接的数码管共用一个数据端,由片选信号依次选择输出,轮流显示。

(4)数码管显示将千米数和计费金额均用3位LED数码管显示(2位整数,1位小数)。

7.具体模块设计:

7.1车速控制模块

当启停键为启动状态(高电平时),模块根据车速选择和基本车速发出响应频率的脉冲驱动计费器和里程显示模块进行计数;当处于暂停状态,暂停发出脉冲,此时里程计数模块和计费模块相应地停止计数。当处于停止状态时,停止发出脉冲,此时计费器和里程显示模块数清零。如图所示。

程序代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SPEED IS

PORT(

CLK,RESET,START,STOP: IN STD_LOGIC;

SPEEDUP : IN STD_LOGIC_VECTOR(4 DOWNTO 0);

CLKOUT : OUT STD_LOGIC

);

END SPEED;

ARCHITECTURE A OF SPEED IS

SIGNAL COUNT1 :STD_LOGIC_VECTOR(1 DOWNTO 0);

SIGNAL TEMPCLK,CLKS:STD_LOGIC;

SIGNAL KINSIDE :STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

KINSIDE<="00000"-SPEEDUP;

CLKS_LABEL:

PROCESS(RESET,CLK)

VARIABLE COUNT2: STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

IF STOP='1' THEN TEMPCLK <='0';

ELSIF RESET = '1' and stop='0' THEN

COUNT2:="00000";

ELSIF CLK'EVENT AND CLK='1' AND STOP='0' THEN IF START='1' THEN

IF COUNT2=KINSIDE THEN COUNT2:="00000";

END IF;

IF NOT (SPEEDUP="00000") THEN COUNT2:= COUNT2+1; END IF;

IF COUNT2="00001" THEN TEMPCLK <= NOT TEMPCLK; END IF;

END IF;

END IF;

END PROCESS CLKS_LABEL;

CLKOUT <= TEMPCLK;

END A;

仿真结果:

启动后(包括暂停)

复位后

停止后

7.2里程计数模块

由车速控制模块发出的脉冲作为计数脉冲,行驶里程大于3KM时,本模块中信号变为1,并将计数动态显示出来,每来一个脉冲,里程值加0.1(每收到一个脉冲代表运行了0.1公里)。程序中要将计数值从十六进制转换成十进制,即在十六进制的数上加7或6,同时产生了相应的进位信号。如图所示。

程序代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY jicheng IS

PORT (CLK,RESET,STOP: IN STD_LOGIC;

COUNT1:OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

COUNT2:OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

COUNT3:OUT STD_LOGIC_VECTOR (3 DOWNTO 0));

END jicheng;

ARCHITECTURE AA OF jicheng IS

BEGIN

PROCESS(CLK,RESET,STOP)

V ARIABLE MM: STD_LOGIC_VECTOR (11 DOWNTO 0);

BEGIN

IF RESET ='1' THEN

MM:="000000000000";

ELSIF CLK='0' AND STOP='1' THEN MM:="000000000000";

ELSIF CLK'EVENT AND CLK='1' AND STOP='0' THEN

IF MM(3 DOWNTO 0)="1001" THEN

MM:=MM+7; ELSE MM:=MM+1; END IF;

IF MM(7 DOWNTO 4)="1010" THEN

MM:=MM+"01100000"; END IF;

END IF;

COUNT1 <=MM(3 DOWNTO 0);

COUNT2 <=MM(7 DOWNTO 4);

COUNT3 <=MM(11 DOWNTO 8);

END PROCESS;

END AA;

仿真结果:

开始计程

个位开始计数

十位开始计数

停止后

7.3计费模块

计费模块启动reset信号,根据输入的clk信号变化,调节费用的计数,用c1、c2、c3显示费用。其初值为6,当里程数超过2km后,才接受计数车速控制模块发出的脉冲的驱动,并且将计数显示动态显示出来,每一个脉冲其数值加0.12,当里程超过15km时数值加0.18。当启动键为启动状态(高电平时),模块根据车速选择和基本车速发出响应频率的脉冲驱动计费器和里程显示模块进行计数;当处于停止状态时,暂停发出脉冲,此时计费器和里程显示模块相应的停止计数,全部归零。如图所示。

程序代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY jifei IS

PORT(CLK,RESET,stop:IN STD_LOGIC;

JUDGE2:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

JUDGE3:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

COUNT1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

COUNT2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

COUNT3:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

COUNT4:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END jifei;

ARCHITECTURE AA OF jifei IS

SIGNAL EN :STD_LOGIC;

SIGNAL MONEY :STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL MCOUNT :STD_LOGIC_VECTOR(15 DOWNTO 0);

BEGIN

MONEY<="00011000" WHEN ((MCOUNT(15)='1') OR (MCOUNT(14)='1') OR

(MCOUNT(13)='1')) ELSE "00010010";

EN<='0' WHEN JUDGE3="0000" AND JUDGE2(3 DOWNTO 1)="000" ELSE '1';

PROCESS(clk,reset)

VARIABLE mm: std_logic_vector(15 downto 0);

BEGIN

if stop='1'and clk='0' THEN mm:="0000000000000000";

elsIF reset='1' and stop='0'THEN

mm:="0000011000000000"; --复位,计费显示为起步费6.00 ELSIF clk'event and clk='1' and stop='0' THEN

IF en='1' THEN mm:=mm+money; --里程超过2公里

IF (mm(1)='1'or(mm(3 downto 1)="000")or(mm(3 downto

2)="11"))THEN

mm:=mm+6;END IF; --十六进制转换成十进制

IF mm(7)='1'and (not(mm(6 downto 5)="00"))THEN

mm:=mm+"01100000";END IF;

IF mm(11)='1'and(not(mm(10 downto 9)="00"))THEN

mm:=mm+"011000000000";END IF;

END IF;

END IF;

count1<=mm(3 downto 0);

count2<=mm(7 downto 4);

count3<=mm(11 downto 8);

count4<=mm(15 downto 12);

mcount<=mm;

END PROCESS;

END aa;

仿真结果:

起步后开始计费

行驶2公里后

行驶15公里后

停止后

7.4 动态扫描模块

动态扫描电路将计数器A、B、C的计费状态用数码管显示出来,所连接的数码管共用一个数据端,由片选信号依次选择输出,轮流显示。该模块经过6选1选择器将计费数据(3位BCD码)、计程数据(3位BCD码)动态选择输出。其中计费数据送入显示译码模块进行译码,最后送至十元、元、角为单位对应的数码管上显示;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示。如图所示:

程序代码:

片选:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY pianxuan IS

PORT(CLK: IN STD_LOGIC;

A: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END pianxuan;

ARCHITECTURE RT1 OF pianxuan IS

BEGIN

PROCESS(CLK)

VARIABLE B:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

IF(CLK'EVENT AND CLK='1')THEN

IF(B="101")THEN

B:="000";

ELSE

B:=B+1;

END IF;

END IF;

A<=B;

END PROCESS;

END RT1;

六选一:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY liuxuanyi IS

PORT(C:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

DP: OUT STD_LOGIC;

A1,A2,A3,B1,B2,B3:IN STD_LOGIC_VECTOR(3 DOWNTO 0); D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END liuxuanyi;

ARCHITECTURE RT1 OF liuxuanyi IS

BEGIN

PROCESS(C,A1,A2,A3,B1,B2,B3)

VARIABLE COMB:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN

COMB:=C;

CASE COMB IS

WHEN"000"=>D<=A1;

DP<='0';

WHEN"001"=>D<=A2;

DP<='1';

WHEN"010"=>D<=A3;

DP<='0';

WHEN"011"=>D<=B1;

DP<='0';

WHEN"100"=>D<=B2;

DP<='1';

WHEN"101"=>D<=B3;

DP<='0';

WHEN OTHERS=>NULL;

END CASE;

END PROCESS;

END RT1;

译码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY yima IS

PORT(D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

Q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END yima;

ARCHITECTURE RT1 OF yima IS

BEGIN

PROCESS(D)

BEGIN

CASE D IS

WHEN"0000"=>Q<="0111111";

WHEN"0001"=>Q<="0000110";

WHEN"0010"=>Q<="1011011";

WHEN"0011"=>Q<="1001111";

WHEN"0100"=>Q<="1100110";

WHEN"0101"=>Q<="1101101";

WHEN"0110"=>Q<="1111101";

WHEN"0111"=>Q<="0100111";

WHEN"1000"=>Q<="1111111";

WHEN OTHERS=>Q<="1101111";

END CASE;

END PROCESS;

END RT1;

仿真结果:

译码举例

7.5 顶层模块

将以上各模块的原理图对应的端口连接,如图所示。

仿真结果:

启动后

暂停

换挡

行驶超过2公里后

停止后

心得体会:

通过本次对于出租车计费系统的设计,我们进一步掌握了VHDL这一语言,熟悉了Quartus2操作环境,更进一步地熟悉了有关数字电路的知识和具体应用。并能根据仿真结果来分析设计存在的问题及缺陷,从而能进行程序的调试和完善。总的来说,通过本次设计更进一步的增加了动手能力,对出租车计费系统的原理也有了更透彻的理解。

虽然出租车计费系统已经初步实现其功能,但还存在一定的缺陷和不足,我们也还有很多该努力的地方!以后的日子里,我们要更加努力学习,争取学有所用,把所学的知识用于实践,对社会做一些有益的事情!

附:

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

出租车管理系统

目录 摘要 (2) 第一章绪论 (1) 第二章汽车租赁系统需求分析 (2) 2.1汽车租赁系统的功能性需求 (2) 2.2 系统主要模块 (2) 第三章相关技术介绍 (3) 3.1 什么是UML (3) 3.2 UML的技术核心 (4) 3.3 面向对象的定义及分析 (4) 第四章建模分析 (6) 4..1 需求模型——出租车租赁系统需求模型 (6) 4.1.1 用例图的概念 (6) 4.2 系统的参与者 (6) 4.3 用例图 (6) 4.3.1 职员用例图 (7) 4.3.2客户用例图 (8) 4.3.3 还车用例图 (8) 4.4分析类图 (9) 4.5时序图 (10) 4.5.1 出租车管理信息时序图 (10) 4.5.2 出租车租赁管理时序图 (11) 4.6状态图 (11) 4.6.1 汽车管理状态图 (11) 4.6.2 出车车管理状态图 (12) 4.7组件图 (13) 4.8部署图 (13) 第五章代码实现 (14) 5.1 用户界面设计 (14) 第六章总结 (20) 参考文献 (21)

摘要 随着汽车工业的发展和汽车的普及,汽车租赁成为近年来兴起的一个新行业。使用汽车租赁管理系统可以规范企业的管理和经营行为,减少企业的经营成本,提高工作效率。 在系统中,要实现对汽车基本信息的添加、修改、删除、和查询;实现客户信息的添加、修改、删除和查询以及汽车租赁、归还等具体操作,以满足当前该行业在处理业务上的需求。 针对以上的要求,汽车租赁管理系统的用户是汽车租赁企业的管理人员,其需求功能应包括汽车信息管理、客户信息管理和汽车租赁、归还管理等主要功能,从而可以形成统一有效的管理。 另外,该系统开发采用Rational Rose进行统一建模。我在本小组中主要负责需求模型这一块,我将对用例图这部分内容做详细分析。 关键字:面向对象,UML,汽车租赁

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

出租车公司信息管理系统

题目出租车公司信息管理系统 摘要 本论文主要介绍了出租车公司管理信息系统的开发过程,开发过程中遵循了软件工程的方法,运用管理信息系统开发的原理和方法,结合管理思想,设计和实现了出租车公司管理信息系统。 全文分为前言,系统分析,系统设计,系统实施,总结与评价和参考文献部分。在出租车公司管理信息系统开发过程中运用了PowerBuilder作为软件开发工具,运用Access作为后台数据库。 该系统的开发和运用使用户从原有的手工操作方式转换为数字化的信息管理方式,大大提高工作效率和准确性,为门店整个系统的开发打下良好的基础。

目录 第一章引言 (3) 第二章系统分析 (4) 2.1系统调查 (4) 2.1.1 组织层次图介绍 (4) 2.1.2 系统开发的基本环境 (5) 2.1.3 目前面临的问题 (5) 2.2可行性分析 (5) 2.2.1 经济可行性 (5) 2.2.2 技术可行性 (6) 2.2.3 营运可行性 (6) 2.2.4 结论 (6) 2.3软件系统的总目标 (6) 第三章需求分析 (8) 3.1业务流程图 (8) 3.2数据流图 (12) 3.2.1 关联图 (13) 3.2.2 顶层数据流图 (13) 3.2.3 一层数据流图(日营运汇总) (16) 3.2.4 一层数据流图(保险管理) (17) 3.2.5 一层数据流图(车辆维修管理) (18) 3.3数据字典 (19) 3.3.1 数据元素 (19) 3.3.2 数据流 (20) 3.3.3数据存储 (20) 3.3.4 加工 (21) 3.3.5外部项 (21) 3.4代码设计 (22) 第四章概要设计 (24) 4.1概述 (24) 4.2系统总体布局方案 (24) 4.3软件模块结构设计 (25) 4.3.1 HIPO 图 (26) 4.3.2 IPO图 (28) 4.4数据库设计 (33) 4.4.1 E-R图的实体及其属性 (33) 4.4.2 实体之间的联系 (33) 4.4.3 系统的E-R图 (35) 4.4.4 关系转换规则 (35) 4.4.5 关系模式 (36)

推荐-基于FPGA出租车计费系统的设计 精品

南京大学金陵学院 本科毕业 院系信息科学与工程系 专业电子信息科学与技术 题目基于FPGA出租车计费系统的设计 提交日期20XX年6月3 日

摘要 通过出租车计费系统的设计,详细介绍了如何使用硬件描述语言Verilog HDL设计复杂逻辑电路的步骤和过程,以及应用美国ALTERA公司的Quartus II 9.0软件进行系统设计、仿真和下载实现的方法。通过设计,可以看到在EDA平台上用CPLD器件构成该数字系统的设计思想和实现过程。论述了计费模块、时钟模块、动态显示模块、计费形式判断模块、控制模块等的设计方法和技巧。 关键词:CPLD/FPGA; 电子自动化设计; Verilog HDL硬件描述语言; 出租车计费器; Quartus II 9.0软件

ABSTRACT Taxi billing system through the design, details how to use the hardware des-cription language Verilog HDL design plexity and the process logic and the applicatio-n of the U.S. pany's Quartus II 9.0 ALTERA software system design, simulation, anddownload the realization of the method. By design, you can see in the EDA platform usi-ng CPLD devices constitute the digital system design and implementation process. Discuss-es the billing module, clock module, dynamic display module, billing forms to judge mod-ule, control module and other design methods and techniques. Keywords: CPLD/FPGA; EDA; Verilog HDL; Taxi Billing Machine; Quartus II 9.0

出租车自动计费系统

课程设计

东北石油大学课程设计任务书 课程EDA技术课程设计 题目出租车自动计费系统 专业电子信息工程姓名邵文瀚学号080901140717 主要内容、基本要求、主要参考资料等 主要内容: 设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。 基本要求: 1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元; 2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。 3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。 4、用LED显示行驶公里数,两个数码管显示收费金额。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 大体设计思路为把传感器安装在车轮上,主要检测汽车行进的公里数,并产生一系列相应的脉冲输出,脉冲送到单片机进行处理,单片机根据程序设定通过计算脉冲数换算出行驶公里数,再根据从EEPROM中读取的价格等相关数据进行金额的计算,计算好的金额、里程和单价都实时地显示在数码管上。独立键盘可以调节价格等相关数据,按下相应的按钮,产生信号交由单片机处理并实时显示出来,调节好的数据存储到EEPROM中,掉电后可以使调好的数据不丢失,系统结构图如图。 2.设计框图 根据计费器设计要求,可将该系统分成四大模块,一块为计费模块,一块为时间计时模块,一块为路程控制模块,另一块为输出显示模块。

出租车管理系统-需求分析

目录 论文要求 (3) 项目开发计划 (4) 1.引言 (4) 1.1编写目的 (4) 1.2背景 (4) 1.3定义 (4) 1.4参考资料 (4) 2. 项目概述 (4) 2.1 工作内容 (4) 2.2 主要参加人员 (5) 2.3 产品 (5) 2.3.1程序 (5) 2.3.2.文件 (5) 2.3.3.服务 (5) 2.3.4.非移交的产品 (6) 2.4 验收标准 (6) 2.5 完成项目的最迟期限 (6) 2.6 本计划的批准者和批准日期 (6) 3. 实施计划 (6) 3.1 工作任务的分解与人员分工 (6) 3.2 接口人员 (6) 3.3 进度 (7) 3.4 关键问题 (7) 4.支持条件 (7) 4.1计算机系统支持 (8) 5.交付期限 (8) 6.专题计划要点 (8) 需求分析 (8) 1 引言 (8) 1.1 目的 (8) 1.2 预期的读者和阅读建议 (8) 1.3 范围 (9) 1.5 术语 (9) 1.6 参考文献 (9) 2 系统概述 (9) 2.1 概述 (9) 2.2 功能 (9) 2.3 运行环境 (10)

2.4 假设与依赖 (10) 3 系统特性 (10) 3.1 系统角色 (11) 3.2 乘客管理 (11) 3.3 出租车管理 (11) 3.4 出租车公司管理 (11) 3.5 后台管理 (11) 4 非功能性需求 (11) 4.1 性能需求 (11) 4.2 安全性需求 (11) 4.3 可用性需求 (11) 4.4 用户文档 (12) 附录 (12) 理解和体会 (13) 本科课程论文评分标准.................................................................................... 错误!未定义书签。

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车计费系统的设计 毕业设计论文

目录 摘要 (1) 第1章绪论 (2) 第2章系统设计 (3) 2.1系统组成 (3) 2.1.1 计费及显示 (3) 2.1.2 时钟及显示 (3) 2.1.3 计费开始提示 (1) 2.2功能模块设计 (1) 第3章硬件及软件实现 (3) 3.1传感器 (3) 3.1.1 光电传感器电路设计 (3) 3.1.2车轮光电开关检测电路 (4) 3.2单片机 (4) 3.2.1 8051 单片机功能方块图 (5) 3.2.2 AT89S51单片机简介 (7) 3.2.3 AT89S5l与51系列单片机相比具有如下特点 (8) 3.2.4 89S51的内部框图 (9) 3.2.5 中断控制 (11) 3.2.6 单片机控制程序设计 (12) 3.2.7 单片机汇编语言源程序 (16) 3.3显示及按键控制系统 (31) 3.3.1 LED数码管 (31) 3.3.2 数据显示电路的设计 (32) 第4章系统检测及分析 (34) 4.1系统仿真/硬件验证 (34) 4.1.1 系统的调试方法 (34) 4.1.2 系统的硬件验证 (34) 4.2设计技巧分析 (35) 结论 (36) 附录A: FPGA芯片引脚 (37)

摘要 本系统电源电压为+16V,速度传感器具有汽车每运行1㎞提供1000个脉冲信号的特性。显示器可以采用LED数码管。系统采用单片机和FPGA的结合进行系统的主体设计,测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算,里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间,等待费用,并产生里程标志(LCBZ),等待标志(DDBZ),熄灯标志(XDBZ)等有关控制标志信号,同时根据单片机发出的开始信号(START),时段标志(SDBZ),传输数据选择(SEL)等控制信号将有关计算结果传送给FPGA芯片。单片机MCU除了完成键盘扫描,显示控制外,还通过P0口与FPGA进行数据交换,并向测控FPGA芯片发出有关控制信号。本设计方案利用单片机和FPGA的结合,发挥它们各自的长处,分工清晰,实际使用和操作符合大众逻辑,容易被人接受。而且,单片机丰富的I/O口和FPGA 模块化的设计为系统功能的扩展提供了空间和便利。 关键词计费系统,单片机,FPGA芯片

出租车系统设计

出租车计费系统设计 功能分析 本设计主要介绍了出租车计费系统的设计思路和过程,并给出了所涉及的相关知识的详细介绍。EDA技术应用,单片机的功能及应用,传感器检测技术,VHDL编程语言及汇编语言程序,显示系统设计及按键控制, 目前,普遍的出租车计费器仅仅具有时钟,起步价,里程计费,等待计费及显示几个功能。其发展前景是可观的,将来的产品除具有这些功能外,另外还可增加如下功能:防作弊功能,IC卡付费:顾客能在制定点购买一定额度的"顾客IC卡",乘车后可用IC卡付帐,付帐是否成功有相应的提示。车主可定期将总营业额写入"车主IC卡"中,并据此IC卡向所属公司领取报酬。车票资料打印:顾客付费后可打印发票,打印内容包括车主信息和车费信息等。可打印车主总营业额信息。语音播报:当乘客上车时,可自动问候乘客,当到达目的地,自动播报乘车费用并礼貌再见,表达希望下次乘坐的意思。增加的这些功能将会更好地为乘客服务。 计费及显示 ①里程,即汽车行程里程,用四位数字显示,显示方式为"XXX.X",单位为㎞,精确到0.1 ㎞。 ②单价,即里程单价,用三位数字显示,显示方式为"X.XX",单价为元/㎞,根据每天不同的时间段有两种情况:当时间段为06:00~23:00时单价为1.4元/㎞,其他时间段内单价为1.80元/ ㎞。 ③等候时间,用四位数字显示分钟和秒,显示方式为"XX:XX",等候的定义是:当汽车行驶速度小于或等于12㎞/h时为"等候"。在等候时间大于1小时的情况下,可以不显示等候时间,但必须对等候时间进行统计计算。

④等候单价,等候单价有两种情况:在等候时间小于1小时的情况下,等候单价为1元每5分钟;在等候时间大于1小时的情况下,等候单价为20元每小 时。 ⑤费用的计算,出租车的起价为5.00元,当里程小于2㎞时,按起价计算费用;当里程大于2㎞时按下式计算费用:费用=里程*里程单价+等候时间*等候单价。 ⑥费用的显示,用五位数字显示,显示方式为"XXX.XX",单价为元。 时钟及显示 当出租车在通常运行状态下,应能显示当前的时间,在汽车熄火的情况下,时钟必须正常运行,但是可以不显示时钟. 计费开始提示 当出租车载上乘客并起步后,将空车指示牌扳倒时,空车指示牌里的指示灯熄灭,并有语音或灯光提示信号。 功能模块设计 根据系统的设计要求,将整个测控FPGA系统CZJFXT分为七个模块,它们分别是:分频器模块FPQ,等待判别模块DDPB,里程计算模块LCJS,里程计费模块LCJF,等待计时模块DDJS,等待计费模块DDJF,输出数据选择模块SCXZ。 分频器模块FPQ:将外部时钟信号SCLK(设计时假设为1024HZ)经过适当分频后,产生1HZ的系统工作用基准时钟信号CLK1HZ,供给系统中的有关模块计时用。 等待判别模块DDPB:根据速度传感器脉冲信号WCLK和分频器产生的基准时钟信号CLK1HZ,计算单位时间里WCLK的脉冲个数(每㎞产生1000

出租车计费系统的设计

一、设计目的 1 掌握电子电路的一般设计方法和设计流程; 2 学习使用PROTEL软件绘制电路原理图及印刷板图; 3 掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正 确性。 二、设计要求 设计一个出租车计费系统,具体要求如下: 1. 能够实现计费功能。按照太原出租车的计费标准。 2.能够实现显示功能。分别用四位数字显示行驶里程和总费用,计价分辨率为0.1元。 三、设计内容 1 设计过程 1)使用PROTEL软件绘制原理图。 2)制作PCB版图。 3)应用EWB对所设计的电路进行仿真。 2 设计框图 (1) 各个模块的功能说明 -74160,是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效电平,就立即清零,无需再等下一个计 数脉冲的有效沿到来。 具体功能如下: 1.异步清零功能 只要(CR的非)有效电平到来,无论有无CP脉冲,输出为“0”。在图形符号中,

CR的非的信号为CT=0,若接成七进制计数器,这里要特别注意,控制清零端的 信号不是N-1(6),而是N(7)状态。其实,很容易解释,由于异步清零端信号 一旦出现就立即生效,如刚出现0111,就立即送到(CR的非)端,使状态变为 0000。所以,清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。 清零端是低电平有效。 2.同步置数功能 当(LD的非)为有效电平时,计数功能被禁止,在CP脉冲上升沿作用下D0~ D3的数据被置入计数器并呈现在Q0~Q3端。若接成七进制计数器,控制置数 端的信号是N(7)状态,如在D0~D3置入0000,则在Q0~Q3端呈现的数据 就是0110。 7448七段显示译码器 7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。 7448的功能表如表5.3.4所示,它有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下: 1. 灭灯输入BI/RBO BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI =0时,无论其它输入端是什么电平,所有各段输入a~g均为0,所以字形熄灭。 表5.3.4 7488功能表

出租车计费系统

课程设计报告项目名称:出租车计价器设计与制作 课程名称:单片机技术 二级学院:电气与电子工程学院 系:电气工程系 班级:14电气4班 学号:29 学生姓名:黄凌周 小组成员:刘智超黄凌周 指导教师:钟立华 成绩:

报告完成日期2016年12月20日 目录 摘要2 1 课程设计任务书3 1.1 课程设计任务3 1.2 课程设计方案3 2硬件电路设计4 2.1 振荡电路4 2.2 复位电路设计5 2.3 键盘接口电路5 2.4 显示电路6 2.4.1 1602LCD的基本参数及引脚功能6 2.4.2 显示模块采用1602液晶显示接口电路7 2.5 单片机各引脚功能说明7 3软件设计10 3.1 单片机资源使用10 3.2 单片机软件模块设计10 3.2.1 中断子函数10 3.2.2 判键子函数11 3.2.3 显示子程序11 3.3 总程序流程框图12 总结13 参考文献14 附录1 元件件清单15

附录2原理图16 附录3 程序清单17 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

出租车管理系统

出租车管理系统 随着当今交通的日益便利,出租车作为一种不可缺少的交通工具其数量在不断增加,而司机的违章行驶所造成的交通事故也在不断上升,为了使出租车司机管理规范化而开发了出租车司机管理系统。 该系统主要采用B/S 模式,前台采用ASP,后台采用Access数据库来实现。论文详细论述了系统总体设计思想、数据库设计以及功能模块设计等,给出了出租车管理系统的一般流程,实现了驾龄的自动累加和扣分累计的算法。充分考虑了包括后台数据库的建立和维护以及前端应用程序开发两个方面。 出租车管理系统作为一款商业管理软件能够实现未来出租车管理规范化、系统化和自动化,并且在操作上实现简单、方便、快捷。

目录 第1章概述 (1) 1.1题目的来源及背景 (1) 1.2现状与分析 (1) 1.3研究意义 (2) 第2章需求分析 (3) 2.1项目内容及要求 (3) 2.1.1 具体完成功能 (3) 2.1.2 实现目标 (3) 2.2可行性分析 (4) 2.2.1 经济可行性 (4) 2.2.2 技术可行性 (4) 2.3开发工具的论述 (5) 2.3.1 前台开发工具 (5) 2.3.2 后台数据库 (7) 第3章系统结构特性设计 (8) 3.1系统分析模型 (8) 3.2数据库设计 (10) 第4章系统行为特性设计 (13) 4.1软件结构设计 (13) 4.2功能子模块设计 (14) 4.2.1 管理员登录模块 (14) 4.2.2 注册司机模块 (16) 4.2.3 司机信息模块 (17) 4.2.4 违章管理模块 (18) 4.2.5 信息查询模块 (20) 4.3系统的数据流图 (22) 第5章系统测试 (25) 5.1系统测试方案 (25) 5.2测试结果分析与调试 (26) 结论 (28) 参考文献 ...............................................................................................................错误!未定义书签。致谢 .....................................................................................................................错误!未定义书签。

出租车计费系统的设计

摘要

The design of taxi billing system Abstract The design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning circuit. This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results show that the system can complete a variety of fare functions and will be displayed at the same time. It’s also able to simulate the taxi start, stop and suspend state. Keyword:Billing system; hardware description language; FPGA; display

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

相关主题
文本预览
相关文档 最新文档