当前位置:文档之家› 电子线路CAD实验五——原理图封装及元件库的建立

电子线路CAD实验五——原理图封装及元件库的建立

电子线路CAD实验五——原理图封装及元件库的建立
电子线路CAD实验五——原理图封装及元件库的建立

实验五原理图元件及元件库的建立

一、实验目的

1、了解和体会原理图中元件图形的定义和作用。

2、了解制作原理图元件的基本方法。

3、了解原理图库的建立方法。

3、熟练掌握元件封装的制作及封装库的建立的方法、步骤。

二、实验内容

1、制作一个简单的原理图元件。

2、制作一个多部件原理图元件。

3、将这两个不同类型的元件封装添加到一个原理图库中。

三、实验环境

1、硬件要求

CPU P1.2GHz及以上;显卡 32M及以上显存;内存最低256M。

2、软件要求

Win2000及其以上版本的操作系统;Protel 99SE软件系统。

四、实验原理及实验步骤

1、原理图元件符号简介

这里所讲的制作元器件就是制作原理图符号。此符号只表明二维空间引脚间的电气分布关系,除此外没有任何实际意义。

2、元件原理图库编辑器

3、制作一个简单的原理图元件

制作一个简单的原理图元件的步骤一般如下:

1)通过新建一个原理图库文件,进入库编辑环境。

2)调整视图,使第四象限为主要工作区域。

3)执行Place|Rectangle,或点击按钮,绘制一个矩形,一般此矩形的左上顶点在各象限的交点上。

4)执行Place|Pins,或点击按钮,放置引脚,

注意各引脚中含有电气特性的端点方向向外。

5)编辑各引脚的属性,主要是进行各引脚名称、引脚编号、引脚方向和引脚位置的调整。

注意:在编辑引脚名称的时候,如果此引脚名称需要带上一横线的字符,在该字符后面输入“顺斜杠”。

如果此引脚是低电平有效,在Outside Edge下拉列表中选择Dot 选项。

如果此引脚是时钟输入信号,在Inside Edge下拉列表中选择Clock选项。

6)点击工具栏菜单项,选择重命名,将原件名称改为PLL。

7)在群组区域点击Description按钮编辑该元件属性,主要修改“Designator”和“Footprint”。

8)最后保存该库文件即可。

以后需要只用只要直接添加该库就可以调用库中的元件了。

4、绘制一个含有多部件的元件。

1)在刚才保存的库文件中新建一个元件,进入库编辑环境。

2)调整视图,使第四象限为主要工作区域。

3)执行Place|Rectangle,或点击按钮,绘制一个元件图形。

4)执行Place|Pins,或点击按钮,放置引脚,

注意各引脚中含有电气特性的端点方向向外。

5)编辑各引脚的属性,主要是进行各引脚名称、引脚编号、引脚方向和引脚位置的调整。该元件中左侧为输入脚右侧为输出脚。

注意:上面的元件中,我们修改了引脚的长短,修改了输出脚的属性。

6)该元件是复合封装还有两个部件,所以执行Tools|New Parts命令,添加下一部分,

过程同上。

7)绘制隐藏引脚,通常在原理图的绘制中,把电源引脚隐藏起来。在先绘制好的引脚属性中选择Hidden,即可。这里要注意的是隐藏的引脚虽然看不见,但是并不是说不存在,因此一定要先绘制这些引脚,再将他们隐藏起来,而且每一个子部件都必须绘制电源引脚然后逐一隐藏。

8)点击工具栏菜单项,选择重命名,将原件名称改为PLL。

9)在群组区域点击Description按钮编辑该元件属性,主要修改“Designator”和

“Footprint”。

10)最后保存该库文件即可。

以后需要只用只要直接添加该库就可以调用库中的元件了。

五、实验报告要求及思考题:

1、什么是原理图元件符号?

2、原理图元件符号的一般制作方法和步骤?

3、思考:绘制原理图元件符号过程中要注意那些问题?如何绘制含有多部件的元件符

号?

4、按照实验步骤中的要求上交相应文件的电子文档(一个库文件中包含两个元件PLL

各SN74AS20D)。

cad实训报告总结(最新篇)

cad实训报告总结 cad实训报告总结 CAD实训总结 时间过的很快,一转眼就到了CAD实训周结束的时候了,记得在实训的第一天,老师给我们将了这周实训的任务安排,讲述了本周实训的主要内容,实训目的以及意义所在,然后交代了一些细节方面的问题,强调应当注意的一些地方,以及考试成绩打分等。 虽然时间很短很紧,但是我一直认认真真去绘制每一个图,思考每一个细节,作图步骤,哪怕是一个很小的问题。的确,在作图的过程中我遇到了不少的难题,但都在同学的帮助下,一个个的被我解决,自己难免会感到有点高兴,从而增加了对CAD的浓厚兴趣。 说到它的使用性,相信许多同学都有同感。我们从书上得知,CAD 可以绘制机械、建筑、航空、石油等多方面的二维平面图形和三维立体图形等等,所以说它的使用性是非常之广泛的。我们在绘制图形的时候要注意线条的宽度,字体的美观。现在用CAD就完全没有这方面的问题,粗细线条全用特性来规范,一目了然。尺寸也相当准确,在命令提示行里输入多少就是多少,也不用拿着丁字尺在图纸上比画来比画去,到头来还是达不到十分准确。画线线连结、圆弧连接的时候CAD在尺寸方面的优势就更加明显,比如画圆与直线相切的时候,手工绘图只能凭感觉觉得差不多就画上去,每一条画得都不一样,怎么看都不对称。用CAD画,打开对象捕捉就能把切点给抓进来,又快又准!尺寸标注更是快捷,两个点一拉,左键一点就完成一个标注,不用画箭头,不用标文字,只要自己设计好标注格式,计算机就能按要求标

出来。插入文字也很方便,在多行文本编辑器里输入文字内容就能出来绝对标准的国标字,比起我们手写的字就美观漂亮的多!粗糙度、基准符号、标题栏等做成块就可以随意插入,用不着一个一个地画了,用起来确实很快! 尺寸标注是工程制图中的一项重要在绘制图形是时,仅仅绘制好的图形还不能看什么来,也不足以传达足够的设计信息。只有把尺寸标住标在自己的图形上面,就会让看图者一目了然,CAD提供的尺寸标注功能可以表达物体的真实大小,确定相互位置关系使看图者能方便快捷地以一定格式创建符合行业或项目标准的标注。CAD中的标注尺寸有线性尺寸、角度直径半径引线坐标中心标注以及利用Dim命令标注尺寸,在设置尺寸标注,尺寸标注实用命令,利用对话框编辑尺寸对象标注形位公差以及快速标注只要弄懂了上面的各个标注你就可以字图形上标注各种尺寸。 最后,学习CAD的目的就是能够完成与之相关的实际绘制任务,在实际工作中能够更快更准的完成制图作业。本次的CAD实训就是运用前面所有的各种绘图工具与编辑工具进行绘制的,希望通过这次的复习和巩固在加上以后的完善能够更灵活快速准确的绘制各种图形从而发挥出CAD的巨大作用! 今天是最后是CAD实训的最后一天。结合我自身对CAD的学习情况,我将继续练习使用CAD,做到能够把它运用得得心应手、挥洒自如,使它成为我今后学习和工作的好助手! 附送: CCTV杯全国英语演讲大赛主持词

电子电路CAD实训报告(格式)

广东工业大学 实训报告 课程电子电路CAD实训 院、系(部)自动化学院 专业及班级电子信息科学与技术2班 学号 88 姓名刘浩 指导教师徐迎晖 日期 一实训目的 1. 学会使用Altium Designer软件绘制一般复杂度的电路原理图和PCB图; 2. 了解焊接机理,学会手工焊接直插式和部分类型的贴片式元件; 3. 了解手工制板的方法,掌握感光电路板法制作双面板的工艺流程; 4. 焊接、装配和调测电子产品——数字万用表。 二实验要求 1. 学会使用Altium Designer软件绘制单页和简单的多页原理图(含自定义原理图元件),绘制双面板PCB图(含自定义封装); 2. 了解焊接机理,掌握焊接工具材料的正确使用方法,学会手工焊接和拆焊直插式元件,学会手工焊接和拆焊贴片式元件(含1210、1206、0805、SOT-23、SO等封装); 3. 了解业余和实验室条件下手工制作PCB的方法。理解感光电路板法制作PCB的原理,掌握其制作双面板的工艺流程,包括PCB布线图打印、曝光、显影、蚀刻、脱膜、钻孔等环节的细节; 4. 以数字万用表为例,体会电子产品样机的焊接、装配和调测的工作细节,学会解决其中出现的问题。 三实验设备 1. 电路原理图和PCB图的绘制:安装了Altium Designer软件的PC机; 2. 手工焊接:电烙铁、焊锡丝、助焊剂、清洁海绵、镊子;

3. 感光电路板法制作PCB:PC机、打印机、双面紫外线曝光机、PCB气泡蚀刻机(2台)、PCB裁板机、台式电钻; 4. 电子产品制作:DT9205A数字万用表散件套件。 四电路原理图和PCB图的绘制 1. 实验原理 电路原理图,是阐述电路工作原理的图纸。在绘制时主要考虑的是易于 阅读理解出电路各部分的工作原理。原理图中的元件并不需按实物外形 绘制,线条一般认为是理想的(实物电路中的连线则在多数时候不能被 认为是理想的)。 印刷电路板,又称印制电路板、线路板。这个名称的由来是因为电路板 中的导线、焊接点、孔等图案是采用类似于在纸张上印刷文字图案的方 式制作出来的,这些图案构成了PCB图。 2. 实验过程 a新建库文件b新建元件c绘制元件d设置元件信息e放置 自定义元件 a新建一个PCB文档b设置PCB编辑器工作环境c设置当前 所使用的封装库列表d.传输原理图信息至PCB图e.PCB元件 布局,布线,放置焊盘,放置过孔,敷铜 3. 实验结果与分析 4. 结论与问题讨论 这次工程实训让我们明白了理论联系实际的重要性,提高了独自分析问题和解决问 题的能力,实践必须要基于熟练的理论知识,我们应当学会多积累理论知识来充实 我们的知识面,以便我们今后的实践。 五手工焊接 1. 实验原理 焊接基本流程:清洁处理、加热、给锡。 首次使用电烙铁时,插上电源插头后,电烙铁温度上升的同时,先在烙铁头上涂 上少许松香,待加热到焊锡熔点时,再往烙铁头上加焊锡,在使用过程中,由于 电烙铁温度很高,达300℃以上,长时间加热会使焊锡熔化挥发,在烙铁头上留 下一层污垢,影响焊接,使用时用擦布将烙铁头擦拭干净或在松香里清洗干净, 再往烙铁头上加焊锡,保持烙铁头上有一层光亮的焊锡,这样电烙铁才好使用。

纺织CAD实验报告

实验一小提花织物CAD/CAM系统 一、功能概述 提花织物CAD/CAM系统用于提花织物和图案设计和纹版的自动制做,它由“提花织物图案设计系统和“纹版自动制做系统”组成,该系统具有图案辅助设计,图案处理成意匠图,将意匠图处理成纹版信息的功能。运行引系统,将图案草稿通过数字化仪输入到计算机,然后再利用系统提供修改,复制,绘画,填充纹样等功能对这个草图进行修改扩充,得到织物的小样,小样中不同的颜色代表了不同的织物组织,即不同的织物效果,对小样图通过系统变意匠图的功能,转换为意匠图与人手工在意匠纸上绘出的效果相同,最后把意匠图的信息加上纹版要求的辅助信息,比如穿绳孔、定位孔等生成纹版教据库。“纹版自动制做系统”是用纹版数据库数据控制复花机自动做出纹版,对冲孔后的纹板自动进行检测,如有靖孔错误出现系统会自动进行报警,这样保证了做出的纹版准确无误。 二、系统构成 本系统由“花织物图案设计系统”和“纹版自动制做系统”两部分组成。软件部为:提花织物图案设计系统,纹板自动制作系统控制程序,支掌软件为以上操作系统。 三、实验操作 1、输入方式。彩色扫描仪扫描,需要把图纸捺上颜色,不仅涂颜色费工很大,而且扫描后的图形还需要进行大量的修改,因此我们认为用扫描仪输入图案草稿不能提高输入速度。因此我们选用了数字化仪作为输入的工具,描图输入虽然较繁琐,但是可在输入的同时完成配色的工序,对草稿中绘的不准确的图形,在描图输入时利用坐标值和绘标准型的功能将它进行纠正,所以说选用数字化仪输入符合我们投费少,又能满足生产需求的愿望。 2、提花织物图案中包含了规则图形与不规则图形,对于规则图形,如矩形、圆、椭圆、正多边形等在人工绘制的草稿中不准确,为得到准确标准的图形,系统安排了规则图形的绘制程序,只要输入几个参数,就可自动绘出图形,有些图形不

CAD实验报告

实验一电原理图的编辑 一、实验目的: 1、了解Protel 99 SE的启动、绘图环境、各个功能模块、界面环境设置方法和文件管理方法。 2、掌握电路原理图的设计步骤、Portel 99 SE电路原理图设计环境,图纸设置的内容和方法。 3、掌握装载元器件库,放置、编辑和调整元器件,设置网格、电气节点和光标形状的方法,并能绘制简单的电原理图。 二、实验设备: 装有protel 99 se 软件的PC机一台。 三、实验要求: 1.试验前,仔细阅读教材相关内容,设计能够完成试验内容的试验步骤, 写好试验预习报告。 2.试验后,完成试验报告,其中的试验步骤应是经过试验证明为正确的步 骤。 四、试验内容: 1.查看Protel 99 SE的运行环境,包括所用机器的硬件与软件环境。 2.学习使用Protel 99 SE的基本操作,包括进入Protel 99 SE主程 序、菜单操作,工具栏操作及退出等基本操作。 3.打开安装目录\Examples\Z80 Microprocessor.ddb设计数据库,通 过打开其中的各种设计文件熟悉Protel 99 SE的绘图环境,各个功 能模块,界面环境,并练习Protel 99 SE的文件管理功能。 4.在自己的用户目录下,创建一个自己的设计数据库,新建一个原理 图文件并打开。(设计数据库、原理图文件的主文件名自定) 5.设置自己喜爱的绘图环境,如图纸类型、尺寸、底色、光标形状、 可视栅格、栅格形状、大小等等。 6.在新建的原理图文件中绘制教材图2-10所示的原理图。 五、实验步骤: 1.安装并启动Protel 99 SE,单击桌面上的Protel 99 SE快捷方式打开

电子线路CAD实验报告1

电子线路CAD实验报告 实验序号:1 实验名称:Altium Designer 基本操作实验日期:15.3.6 专业班级:13电信姓名:陈学颖成绩:__________ 一、实验目的: 了解AD 软件绘图环境,各个功能模块的作用,各个功能模块的作用,设置原理图 图纸环境的方法及元器件放置方法,灵活掌握相关工具和快捷方式的使用。 二、实验内容: 1,熟悉软件的设计环境参数:常规参数、外观参数、透明效果、备份选项、项目面板 设置。 2,学习使用键盘和菜单实现图纸的放大或缩小。 3,创建一个新的PCB 项目,项目名为姓名.PrjPCB。 4,打开一个例子文件,观察统一的设计环境,进行标签的分类。 5,在上述工程中创建新文件,命名为实验1.sch.设置图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。 6,栅格设置:捕捉栅格为5mil,可视栅格为8mil。 7,字体设置:设置系统字体Tahoma、字号为8,带下划线。 8,标题栏设置:用特殊字符串设置制图者为Motorala、标题为“我的设计”,字体为华文彩云,颜色为221 号色。 9,新建原理图文件,命名为“模板.schdoc”,设计其标题栏,包括班级、姓名、学号。三.实验操作 1.在最上方菜单中选择文件—新建—PCB工程,然后新建一个PCB项目,然后将其保存为陈学颖.PrjPCB。 2.然后在最上方菜单中选择文件—新建—原理图,然后将其命名为实验1.sch。然后在原理图工具区单击鼠标右键,在选项中选择文档选项,将其设置为图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。同时将捕捉栅格设置为5mil,可视栅格设置为8mil。然后选择“更改系统字体”中设置系统字体为Tahoma、字号为8,带下划线。

cad实训心得总结

cad实训心得总结 计算机辅助设计(CAD)实训 按照课程按排,我们进行了两周的CAD实训。 从上个学期的手工画图,到这个学期的电脑画图,感觉到先进的技术人们带来了快速和方便。上个学期的手工画图,即麻烦又不方便。用铅笔和尺子趴在桌子上埋头的画,由于受人和仪器的原因,图画的误差可能比较大,从而影响了图画的质量,工程图的好坏对工程的影响是很大的,可能导致豆腐渣工程,危害人们的生命财产,这是不允许的。另外,手工画图的速度也比较慢,一幅图手工画可能要一天,甚至更长。但用电脑CAD软件来画,熟练的人两个小时左右就可以画完,并且精度比手工画的图远远要高。 从学CAD的那一刻起,我就感到了画图的方便和快速。我期待着CAD实训周的到来,我希望能从这两周的实训学到更多的东西和提高自己的操作水平。实训周的第一天,我满怀期待地来到我们实训的电脑室。进入电脑室后,按号入座,迅速打开电脑就操作起来,第一天的实训就这样开始了。俗话说得好“万事开头难。”第一天实训就遇到了不少麻烦。我自己也有一台电脑,闲暇的时候也会打开CAD软件画画图,经过一段时间后,自己感觉画图的速度也挺快的,信心比较充足。但由于在我的电脑和学校的电脑之间的不适应,一个早上下来,

连一幅图也没有画完,感觉有些沮丧,没想到实训第一天就让我跌了一大跤。想到我们大部分时间都是在机房里面实训并且大部分的图都是用机房的电脑来完成绘制的,我就勤奋地操作画图,希望一段时间后操作速度能大大的提高,在规定时间内完成作图。另外,在 画图的细节上我也有不少错误的地方。比如,有些线条该用红色的,而我用了白色;一些线型的比例和一些文字的高度也有弄错的等等。我只好边练习,边向老师和同学询问和讨教,这样使我减少了很多细节上的错误。从中我感受到了大家集中一起来作图的好处,不懂的地方可以向周围的同学还有老师讨教,从而迅速地把不懂的问题解决掉,有助于更好地完成作图。 实训的第二天和第三天,我都比较早地来到电脑机房加紧作图。这两周的实训要完成建筑平面图、立面图、剖面图的绘制。实训的第一阶段主要是系统学习CAD绘图基本知识和基本操作命令,学习绘制简单构件,并且学习CAD工作界面各组成部分的功能和作用,以检测我们上课质量和运用CAD绘图的熟练度。在这些基础之上感觉运用CAD绘图轻松了许多,使我对后期完成建筑图的绘制又慢慢有了信心。除了在老师规定的时间在电脑室作图外,我还利用其他的时间继续练习作图,回到宿舍里,休息一段时间,又继续作图。没办法啦,苯鸟不先飞就会饿死,两个星期的实训,再加上中途机房进行维修,时间是很短暂的,不加紧练习,完成作业都没希望了。这两天的不断操作,

电子CAD课程设计实验报告

一.课程设计的目的 课程设计以电子线路CAD软件设计原理为基础,重点在硬件设计领域中实用的电子线路设计软件的应用。掌握电子线路设计中使用CAD的方法。为后继课程和设计打下基础。 通过电路设计,掌握硬件设计中原理图设计、功能仿真、器件布局、在线仿真、PCB设计等硬件设计的重要环节。 二.课程设计题目描述和要求 2.1振荡电路的模拟和仿真。 由555定时器构成多谐波振荡电路,用模拟的示波器观察输出的信号,熟悉555定时器构成多谐波振荡电路的基本原理,熟悉proteus的基本操作,和各元器件的查找。 2.2 8051单片机 用80c51单片机完成以下功能:(1)构成流水灯的控制电路,使八个流水灯轮流点亮。(2)构成音乐播放的简单电路。(3)构成串口通信电路,完成信息在单片机和串口之间的传播。(4)构成8255键盘显示模块。(5)构成A/D和D/A 转换模块。 首先用模拟器件构成基本电路,然后在单片机中加入驱动程序,运行仿真,最后对电路进行调整校正,完成相关功能。 熟悉单片机实现相关功能的基本原理,对单片机有个框架的了解。学习用proteus仿真单片机电路中不同模块间的组合,扩展单片机电路的功能。 三.课程设计报告内容。 3.1设计原理 3.1.1振荡电路仿真的原理 振荡电路原理: 555管脚功能介绍: 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电

电子cad实训总结范文3篇(完整版)

电子cad实训总结范文3篇 电子cad实训总结范文3篇 电子ad实训总结范文篇一: 在大二学年接近尾声之际,我们终于等到了这次将理论变为实际的机会,人们常说实践是检验理论的唯一途径。我们抱着喜悦的心情进行了这学期末,为期两周的强化训练。在这次的实训中,我不仅了解到实在的学习内容,掌握了学习方法和技巧。AutoCAD软件具有操作简单、功能强大等特点,它已被广泛应用于机械、建筑、电子、航天、造船、石油化工、地质、服装、装饰等领域。我们每画一个图就好象跟CAD的历史一样,一步步前进,从第一天的对CAD的基础认识到后面的二维画图,自己从中吸取精华。绘制二维视图在绘图过程中常使用到的工具栏如下: 绘图、捕捉对象、标注、标准、对象特性、实体、实体编辑、视口、视图、图层、文字、修改、样式等等。每个工具栏中都有着相应的命令工具,在实训的前几天老师教我们使用命令工具的技巧及方法。 在绘制图形前要建图层,最基本的线形设置如细实线、粗实线、中心线、虚线。在绘制A4图副及需要定位的图形时的第一步骤是绘制图形界线其命令为LIMITS再进行绘制,在编辑文字时,使用单行文字和多行文字以宋体来完成,在格式的文字样式中修改所需的样式或或双击已写的文字来修改。在绘制二维的图形前要用中心线来定位再进行绘制,在绘制完图形后要进行尺寸的标注,在格式中的标注样式中创建标注样式及修改。在作图的过程中我常常碰到很多的困难,但是

在老师、同学的帮助下我改正了很多不足。总之在这一星期的实训中我学会了很多,我感觉这些绘图技巧是在平时中学不到的,我每天都过的很充实,每天都有做不完的画,一切都还不错,感觉很好。 为期两周的CAD实习结束了,这段时间的实习既是忙碌的又是充实的。我们从老师那里接到任务以后,就投入到紧张的实训阶段。一开始我们组就根据每个人的实际情况分配了任务。我的图是一幅有关发电机冷却系统的图纸,图纸是英文的,并且还有许多自己从在未见过的仪器传感器符号。由于图纸内容比较多,一开始我就认真的对图纸进行了分析,尽量把图纸的整体布局弄清楚。还有图纸全部是英文的,这更加增加了绘图的困难,因此在第一天的绘图工作中我的进展比较缓慢。进入第二天以后,我将图纸又进行了分析,发现这幅图最难的地方在于许多元器件的绘制,而这些元器件大多是重复的,正好可以将它们制成块后再进行绘制。按照这种方法进行操作,我的绘图效率有了很大提高。当然由于图纸打印的不够清晰,很多地方我根据自己的想法对原图进行了修正。途中遇到一些问题也积极的向老师同学请教了,最终自己还是顺利的将图绘制完毕。最后几天我们的小组成员就呆在一起,给小组成员绘制的图纸进行了仔细的检查,尽量纠正一些绘图错误,最后在大家的齐心协力下,各自的任务都很好的完成了。 CAD实习使我的绘图技术在实践中得到了提高,还使我熟练掌握了一些以前不太熟悉ad操作,通过实习所积累下的经验必然会给我以后的工作提供很多帮助。 电子ad实训总结范文篇二:

工程制图与CAD实习实验报告

工程制图与CAD 实习报告 学院:材料与化工学院 班级:化工 0801 学号: 姓名:李天宇 指导老师:张平 实验一:AutoCAD的基本操作 指导教师实验时间: 2011 年3月10日学院学院专业 班级学号姓名实验室 一、实验题目: AutoCAD的基本操作 二、实验目的: 1、了解AutoCAD基本概念

2、学习AutoCAD2008的基本操作 三、实验主要步骤及结果: 1、几何图形绘制 (1)启动AutoCAD 用下拉菜单“格式”→“单位”或命令行输入:UNITS↙,查看和设置绘图单位、精度(建议使用缺省值)及角度(建议使用缺省值)。 (2)设置图幅(选A3图幅)。 (3)用绘图工具栏的直线命令绘制,绘图时确定点的方式:用鼠标导向“直接距离”方式画各水平、垂直线(打开极轴);用“相对坐标”方式画斜线。 (4)将绘制的图形存盘,在后面标注尺寸时使用。 2、图框设置与绘制 (1)用“图形单位”对话框确定绘图单位(建议使用缺省值)。 (2)用“LIMITS”(图限)命令选A3图幅(长420 mm,宽297 mm)。 (3)打开正交、栅格及栅格捕捉。 (4)建图层、设线型、线宽及颜色。 粗实线白色(或黑色)实线(CONTINUOUS) 0.7 mm

细实线白色(或黑色)实线(CONTINUOUS) 0.35 mm 点画线红色点画线(CENTER) 0.35 mm 虚线蓝色虚线(HIDDENX2) 0.35 mm 尺寸白色(或黑色)实线(CONTINUOUS) 0.35 mm (5)用绘图工具栏的直线命令图标按钮绘制边框,在粗实线层上画图框线,在细实线层上画图幅线。 心得体会: 通过这次实验,我对CAD绘图有了初步的了解,掌握了 CAD中基本绘图操作以下书写实验的有关格式,仅作参考:

CAD实验报告

班级:电气F1504 姓名:于玥学号:201526030528 实验1 原理图设计环境画原理图实验 一实验目的 1 熟练PROTEL99se的原理图编辑环境 2 掌握常用管理器,菜单的使用,电气规则检查 3 掌握元器件等的调用,属性含义。 二实验内容 (1)放置元件,就是到元件库中找元件,然后用元件管理器的Place按扭将元件放到原理图上。 (2)连接导线。使用画线工具连接导线。 (3)放置电源、地线和网络标记。放置电源和地线标记前要显示电源地线工具箱。 (4)自动元件编号。使用菜单Tool/Annotate对元件自动编号。 (5)编辑元件属性。双击元件,在弹出的属性窗]输入元件的属性,注意一定 要输入元件封装。 (6)电气规则检查。使用Tool/ERC菜单,对画好的原理图进行电气规则检查,检查完毕后,若没有错误,就可以进行下一步。 (7)原理图元件列表。使用EdivVExpot to Spread 菜单,按照向导提示进行 操作。、 (8)建立网络表。使用菜单Design/Netlist 三、实验结果

班级:电气F1504 姓名:于玥学号: 201526030528 实验2 原理图练习实验 一实验目的 1 掌握层次电路图设计方法 2 了解层次电路原理图各图之间的关系 3 熟悉顶层图,子图的生成方法 二实验内容 1 在顶层原理图中生成图纸符号。 2 生成图纸符号对应的分原理图zhengliu和wenya。 3 补画分原理图。

二实验内容 1 在顶层原理图中生成图纸符号。 2 生成图纸符号对应的分原理图zhengliu和wenya。 3 补画分原理图。 三实验结果

cad实训报告心得体会

cad实训报告心得体会 计算机辅助设计(CAD)实训 按照课程按排,我们进行了两周的CAD实训。 从上个学期的手工画图,到这个学期的电脑画图,感觉到先进的技术人们带来了快速和方便。上个学期的手工画图,即麻烦又不方便。用铅笔和尺子趴在桌子上埋头的画,由于受人和仪器的原因,图画的误差可能比较大,从而影响了图画的质量,工程图的好坏对工程的影响是很大的,可能导致豆腐渣工程,危害人们的生命财产,这是不允许的。另外,手工画图的速度也比较慢,一幅图手工画可能要一天,甚至更长。但用电脑CAD软件来画,熟练的人两个小时左右就可以画完,并且精度比手工画的图远远要高。 从学CAD的那一刻起,我就感到了画图的方便和快速。我期待着CAD实训周的到来,我希望能从这两周的实训学到更多的东西和提高自己的操作水平。实训周的第一天,我满怀期待地来到我们实训的电脑室。进入电脑室后,按号入座,迅速打开电脑就操作起来,第一天的实训就这样开始了。俗话说得好“万事开头难。”第一天实训就遇到了不少麻烦。我自己也有一台电脑,闲暇的时候也会打开CAD软件画画图,经过一段时间后,自己感觉画图的速度也挺快的,信心比较充足。但由于在我的电脑和学校的电脑之间的不适应,一个早上下来,

连一幅图也没有画完,感觉有些沮丧,没想到实训第一天就让我跌了一大跤。想到我们大部分时间都是在机房里面实训并且大部分的图都是用机房的电脑来完成绘制的,我就勤奋地操作画图,希望一段时间后操作速度能大大的提高,在规定时间内完成作图。另外,在 画图的细节上我也有不少错误的地方。比如,有些线条该用红色的,而我用了白色;一些线型的比例和一些文字的高度也有弄错的等等。我只好边练习,边向老师和同学询问和讨教,这样使我减少了很多细节上的错误。从中我感受到了大家集中一起来作图的好处,不懂的地方可以向周围的同学还有老师讨教,从而迅速地把不懂的问题解决掉,有助于更好地完成作图。 实训的第二天和第三天,我都比较早地来到电脑机房加紧作图。这两周的实训要完成建筑平面图、立面图、剖面图的绘制。实训的第一阶段主要是系统学习CAD绘图基本知识和基本操作命令,学习绘制简单构件,并且学习CAD工作界面各组成部分的功能和作用,以检测我们上课质量和运用CAD绘图的熟练度。在这些基础之上感觉运用CAD绘图轻松了许多,使我对后期完成建筑图的绘制又慢慢有了信心。除了在老师规定的时间在电脑室作图外,我还利用其他的时间继续练习作图,回到宿舍里,休息一段时间,又继续作图。没办法啦,苯鸟不先飞就会饿死,两个星期的实训,再加上中途机房进行维修,时间是很短暂的,不加紧练习,完成作业都没希望了。这两天的不断操作,

cad实训总结2篇

cad实训总结2篇 下学期第十六周,在杨志勤老师的指导下,我们班进行了为期一周的CAD制图集中实训,主要是针对轴类、箱体类和叉架类等几种常见零件的绘制,通过实训,进一步掌握CAD 的应用,增强动手cao作能力。 时间过得真快,到今天截止,一周的CAD制图实训即将结束,现在回想起刚进机房的那懵懂,自己都觉得好笑。经过一个学期的学习,面对综合量大点的图形,竟然不知从何下手。上课是一步一步,一个一个命令的学,课后的练习也没有涉及到前后的知识,知识的连贯性不大,当我们进行实际运用时,发现之前学的有点陌生。 实训的第一天,老师首先给我们将了这周实训的课程安排,说明了本周实训的主要内容,实训目的以及意义所在,然后交代了一些细节方面的问题,强调应当注意的一些地方,以及考试成绩打分等。听完老师的讲解后,我并没有马上去画图,而是用两节课认真的去看任务指导书和设计指导书,很仔细的看了作图要求,以及提示的作图步骤,以便于能够合理的完成本周的实训工作,我怀着积极的心态去面对这次难得的实训机会。实训时间安排得有点紧,尤其是周三,从中午12:00直到下午17:00,看到这样的时间安排后,竟然没有想溜的冲动。接着我就仔细每副图的cao作细节,有些有提示,也有些没有的。我想结合我所学的,还有老师和

同学的帮助,这周的实训肯定会有很大的收获的。 在接下来的几天里,我一直认认真真去绘制每一个图,思考每一个细节,作图步骤,哪怕是一个很小的问题,也都会很仔细,在作图的过程中的确遇到了不少的难题,但都在老师和同学的帮助下,一个一个的被我击破,自己难免会感到有点惊喜,从而增强了对CAD的兴趣。 学习最怕的就是缺少兴趣,有了兴趣和好奇心,做什么事都不会感到累。于是我在网上找了点关于CAD的资料。CAD 的发明者是美国麻省理工学院的史凯屈佩特教授,依1955年林肯实验室的SAGE系统所开发出的全世界第一支光笔为基础,提出了所谓“交谈式图学”的研究计划。这个计划就是将一阴极射线管接到一台电脑上,再利用一手持的光笔来输入资料,使电脑透过在光笔上的感应物来感应出屏幕上的位置,并获取其座标值以将之存于内存内。这个阴极射线管就算是电脑显示屏幕,那支光笔现在可能是更先进的鼠标、数字化仪或触笔。那时候的电脑是很庞大且简陋的,不过,无论如何,这个计划开启了CAD的实际起步。事实上,此计划也还包含类似像CAD这样的CAD软件,只是其在功能上的应用非常简单罢了。当交谈式图学的观念被提出且发表后,在美国,像通用汽车公司、波音航空公司等大公司就开始自行开发自用的交谈式图学系统。因为在当时,只有这样的公司才付得起开发所需的昂贵电脑设备费用和人力到了20

电子线路CAD实验报告

实验报告 科目:电子线路CAD实验 实验名称:印制电路板设计 06级电气工程及其自动化专业二班 姓名学号 指导老师 实验目的:掌握电子线路计算机辅助设计CAD和计算机辅助设计制造CAM的基本概 念,并能熟练运用有关EDA软件进行线路设计、仿真分析及其印制电路板设计。 实验内容:在Protel199 SE状态下,编辑、创建原理图后制作PCB印制板。有两种方式 创建PCB文件,一为利用“Printed Circuit Board Wizard”(印制板向导)创建新的空白的PCB 文件。或直接双击图1—1所示窗口内的“PCB Document”(PCB文档),创建新的空白的PCB文件,在完成了原理图编辑后,执行SCH编辑器窗口内“Design”菜单下的“Updata PCB…”(更新PCB文档)命令,创建空白的PCB文件,并自动装入原理图中元件封装图及其电气连接关系。下面主要用的是第二中方式。 图1-1 试验步骤:1.完成如原理图的编辑,如图1-2所示。 图1-2 2.理图进行电气规则检查,如有错误,再反悔原理图编辑页面进行修改,直到电气规则检查完全正确为止。 3.网络表文件。 4.行SCH编辑器窗口内“Design”菜单下的“Updata PCB…”(更新PCB文档)命令,创建空白的PCB文件,并自动装入原理图中元件封装图及其电气连接关系。 5.生成的PCB图进行编辑页面中对工作参数和电路板尺寸进行设置与规划,执行“Design”菜单下的“Option”命令,在弹出的“Document Option”(文档选项)窗内,单击“Layers”标签,选择工作层如图1-3所示。 图1-3 点击“Option”设置PCB编辑区可视格点大小图1-4所示: 图1-4 6.执行“Tool”菜单下的“Preferences”命令,并在弹出的“Preferences”窗内,点击“Color”

电子电路CAD实训报告格式

电子电路C A D实训报 告格式 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

广东工业大学 实训报告 课程电子电路CAD实训 院、系(部)自动化学院 专业及班级电子信息科学与技术2班 学号 姓名刘浩 指导教师徐迎晖 日期 一实训目的 1. 学会使用Altium Designer软件绘制一般复杂度的电路原理图和PCB图; 2. 了解焊接机理,学会手工焊接直插式和部分类型的贴片式元件; 3. 了解手工制板的方法,掌握感光电路板法制作双面板的工艺流程; 4. 焊接、装配和调测电子产品——数字万用表。 二实验要求 1. 学会使用Altium Designer软件绘制单页和简单的多页原理图(含自定义原理图元件),绘制双面板PCB图(含自定义封装);

2. 了解焊接机理,掌握焊接工具材料的正确使用方法,学会手工焊接和拆焊直插式元件,学会手工焊接和拆焊贴片式元件(含1210、1206、0805、SOT-23、SO等封装); 3. 了解业余和实验室条件下手工制作PCB的方法。理解感光电路板法制作PCB的原理,掌握其制作双面板的工艺流程,包括PCB布线图打印、曝光、显影、蚀刻、脱膜、钻孔等环节的细节; 4. 以数字万用表为例,体会电子产品样机的焊接、装配和调测的工作细节,学会解决其中出现的问题。 三实验设备 1. 电路原理图和PCB图的绘制:安装了Altium Designer软件的PC机; 2. 手工焊接:电烙铁、焊锡丝、助焊剂、清洁海绵、镊子; 3. 感光电路板法制作PCB:PC机、打印机、双面紫外线曝光机、PCB气泡蚀刻机(2台)、PCB裁板机、台式电钻; 4. 电子产品制作:DT9205A数字万用表散件套件。 四电路原理图和PCB图的绘制 1. 实验原理 电路原理图,是阐述电路工作原理的图纸。在绘制时主要考虑的是易于阅读理 解出电路各部分的工作原理。原理图中的元件并不需按实物外形绘制,线条一 般认为是理想的 (实物电路中的连线则在多数时候不能被认为是理想的)。

三维CAD实训总结

三维CAD软件实训总结报告 班级 姓名 学号 年月日

*实训时间: *实训地点: *指导老师: *实训目的:理解三维CAD技术的相关概念和三维CAD的基础知识,熟练UG软件的基本操作,掌握UG软件常用建模模块的使用方法。能够更直观、更全面地反映设计意图,为将来从事计算机辅助机械设计和制造工作打下基础。 *实训内容: 一、UG软件基础知识和基本操作 二、UG草图绘制及简单零件设计 三、UG典型工业造型零件设计 四、UG典型机械零件设计 五、UG典型装配图及爆炸图设计 六、UG工程图 七、实训收获及感想

一、UG软件基础知识和基本操作 1.UG最早应用于美国麦道公司。它是从二维绘图、数控加工编程和曲面造型等功能发展起来的软件。20世纪90年代初,美国通用公司选中UG作为全公司的CAD/CAM/CIM主导系统,这进一步推动了UG的发展。 UG软件是一个集成化的CAD/CAE/CAM系统软件,他为工程设计人员提供了非常强大的应用软件,这些工具可以对产品进行设计(包括零件设计和装配设计),工程分析(包括有限元分析和运动机构分析),绘制工程图和编制数控加工程序等。随着版本的不断更新和功能的不断扩充,促使UG向专业化合智能化方向发展,例如,各种模具设计模块(冷冲模、注塑模等)、钣金加工模块、管道布局、船舶设计及车辆工具包等。 2.UG具有以下优势 .(1)UG可以为机械设计、模具设计及电气设计单位提供一套完整的设计、分析和制造方案。 (2)UG是一个完全的参数化软件,为零部件的系列化建模、装配和分析提供强大的基础支持。 (3)UG可以管理CAD数据及整个产品开发周期中的所有相关数据,实现逆向工程和并向工程等先进设计方法。 (4)UG可以完成包括自由曲面在内的复杂模型的创建,同时在图形显示方面运用了区域化管理方式,节约系统资源。 (5)UG具有强大的装配功能,并在装配模块中运用了引用集的设计思想。为节省计算机资源提出了行之有效的解决方案,可以极大地提高设计效率。 3.UG的主要功能特性如下 (1)建模的灵活性 与其他的三维设计软件的建模功能相比,UG具有很大的灵活性,具体体现在以下3个方面 ①符合建模功能 ②方便的几何特征和特征操作 ③光顺倒圆 (2)协同化和高级装配建模技术

电子CAD技术实验报告

《电子CAD技术》 实 验 报 告 姓名:杨萍 班级:自动化1211班 学号:12318115

实验一、Protel 99 SE认识实验 一、实验目的 1掌握Protel 99 SE安装、运行及卸载 2、掌握Protel 99 SE的基本操作 二、实验内容与步骤 1、Protel 99 SE的安装 2、Protel 99 SE的卸载 3、Protel 99 SE的启动 4、Protel 99 SE的关闭 5、设计组管理 三、思考题 如何修改密码?又如何增加和删除访问成员? 答:修改密码①打开“Members”文件夹;②在设计窗口中双击需要修改密码的成员名称,或者在其上面双击鼠标右键,然后在调出的快捷菜单中选择“Properties”菜单项; ③在调出的对话框中根据需要对成员名称、名称描述和密码等进行修改;④修改完后,单击“OK”按钮。 删除设计成员 ①打开“Members”文件夹。②删除的成员名称上单击鼠标右键,然后在调出的快捷 菜单中选择“Delete”菜单项,。或者先选择要删除的成员名称,然后按下 ①在调出的“Confirm”对话框中单击“Yes”按钮即可。 增加访问成员1双击设计数据库,或者单击其前面的加号+,展开设计数据库的目录树。 2双击设计组文件夹“Design Team”,或者单击其前面的加号+,展开其目录树。 3双击“Members”文件夹,以在设计器窗口中打开成员列表。 4 在右边设计窗口的空白处双击鼠标右键,然后在调出的快捷菜单中选择“New Member”菜单项。增加访问成员还可以通过选择“File”菜单,然后在弹出的下拉菜单中选择“New

cad实验报告

CAD实验报告 实验编号: 项目一 AutoCAD 基础(2学时) 一、实验目的 了解AuotCAD的发展历史,主要内容及特性 二、实训内容 1、AuotCAD的发展与应用 2、AUTOCAD的主要功能 3、AutoCAD2004工作界面 4、坐标与坐标系简介 三、使用仪器设备: 电脑 四、实验教材:《室内设计CAD》 五、实验步骤: 1、AUTOCAD的主要功能的介绍 2、AutoCAD2004工作界面的介绍 3、坐标与坐标系简介 六、实验结果:良好 七、实验分析: 本节课学习了计算机绘图的相关知识、AutoCAD 的主要功能、AutoCAD2004 的工作界面和如何设置绘图环境,对AutoCAD 有了初步的认识。关于AutoCAD的工作界面一个比较重要的部分,他包括了标题栏、菜单、工具栏等。菜单中的命令、子命令是绘图的基础。 实验编号:项目二 常用绘图命令(5学时) 一、实验目的 1、掌握直线、多线、圆、矩形、多边形的绘制方法 2、掌握利用简单命令绘图的方法 二、实训内容 1、直线、射线、构造线、多线的绘制方法及多线样式的设置 2、矩形、点的绘制 3、圆、圆弧、椭圆、椭圆弧的绘制方法

三、使用仪器设备: 电脑 四、实验教材:《室内设计CAD》 五、实验步骤: 1、绘制直线、射线、构造线 2、绘制圆弧、圆、椭圆与椭圆弧 3、绘制多边形和点 4、绘制矩形 六、实验结果:良好 七、实验分析: 本节课我们学习了如何绘制点、直线、射线、构造线和圆、圆弧、椭圆、椭圆弧等,对绘图命令有了一定的了解,其中绘图命令的使用是本节课的重点。在以后的练习中我们会充分利用这些命令绘制二维图形。 实验编号:项目三 绘图辅助工具(3学时) 一、实验目的 1、掌握AutoCAD中对象几何特征点捕捉的功能和极轴追踪; 2、如栅格、正交、自动捕捉、对象捕捉、对象追踪等。 二、实验内容 第一节、捕捉、栅格第二节、正交和极轴模式第三节、自动追踪 三、使用仪器设备: 电脑 四、实验教材:《室内设计CAD》 五、实验步骤: 1、辅助绘图的基本设置, 2、设置捕捉和栅格, 3、极轴追踪的设置与运用 六、实验结果:良好 七、实验分析: 本节课我们学习了栅格(Grid)捕捉(Snap)正交(Ortho) 对象追踪(Object Snap Tracking)

cad实验报告心得体会

篇一:《CAD实习心得体会》 篇一cad实训总结 cad实训总结 时间过得好快,一学期转眼就要离去了,在这最后一周的时间里,我们实训cad,觉得自己很多东西都还不会,老师布置的任务虽说是很庞大的,但是只要自己用心做了应该能完成老师布置的任务的,但愿自己和在座的同学能快乐的度过这一周,完成学习任务,给老师一个满意的答卷。 尽管孙老师每天过来监督我们的时间很少,可能孙老师很忙很忙,但我们同学也是相当的自觉,每天很早很早就来到了机房,绘制图形,有些图形真的是很复杂很复杂的,好几次自己都想放弃不画了,想想真是太不应该了,可能自己的想象力不够丰富吧,有些图形自己真的想不出来的,也许是自己练得少的缘故吧,我想孙老师也不会说是哪个同学绘错了或是不会绘而重重的惩罚一番吧,当然不会了,孙老师才不会惩罚我们呢? 对吧! 说归说,一周的时间每天坐在电脑面前,眼睛直视电脑屏幕,绘制着图形,有时候自己的头真的就要大了,真的想放弃绘图,但老师布置的任务还没有完成

呢,想到这自己又不得不绘起图来,每天早早的我们就来到了机房,绘制图形了,在机房里每个同学都显得是那么的严肃,都在那认认真真的绘制图形,有时候机房里是那么的安静,同学们绘图是那么的认真,有时候机房里又显得是那么的喧闹,也许是在讨论复杂图形的绘法吧。 时间过得好快呀,不知不觉今天就已经礼拜三了,自己的图形还没有会呢,想到这自己似乎有点胆怯呀,早早来到机房,赶紧就绘图什么也不想,就一心绘图,突然发现坐在自己旁边的同学画的不错嘛,请教一下嘛,于是我们两个就相互学习,相互讨论,不一会儿一副复杂的图形就被我们两个给绘制出来了,真的真的很高兴,其实一副复杂的图形的绘制并不是很难,只要自己静下心来,认真的分析分析,就一定会有所收获的,相信自己会做的更好的,加油! cad的用途的确很广泛呀!说到它的使用性,相信许多同学都有同感。我们从书上得知,cad可以绘制机械、建筑、航空、石油等多方面的二维平面图形和三维立体图形等等,所以说它的使用性是非常之广泛的。我们在绘制图形的时候要注意线条的宽度,字体的美观。现在用cad就完全没有这方面的问题,粗细线条全用“特性”来规范,一目了然。尺寸也相当准确,在命令提示行里输入多少就是多少,也不用拿着丁字尺在图纸上比画来比画去,到头来还是达不到十分准确。画线线连结、圆弧连接的时候cad在尺寸方面的优势就更加明显,比如画圆与直线相切的时候,手工绘图只能凭感觉觉得差不多就画上去,每一条画得都不一样,怎么看都不对称。用cad画,打开对象捕捉就能把切点给抓进来,又快又准!尺寸标注更是快捷,两个点一拉,左键一点就完成一个标注,不用画箭头,

电子线路CAD实验报告

(理工类) 课程名称:电子线路CAD专业班级:G11通信工程学生学号:学生姓名: 所属院部:指导教师: 2012 ——2013学年第 2 学期 金陵科技学院教务处制

实验报告书写要求 实验报告原则上要求学生手写,要求书写工整。若因课程特点需打印的,要遵照以下字体、字号、间距等的具体要求。纸张一律采用A4的纸张。 实验报告书写说明 实验报告中一至四项内容为必填项,包括实验目的和要求;实验仪器和设备;实验内容与过程;实验结果与分析。各院部可根据学科特点和实验具体要求增加项目。 填写注意事项 (1)细致观察,及时、准确、如实记录。 (2)准确说明,层次清晰。 (3)尽量采用专用术语来说明事物。 (4)外文、符号、公式要准确,应使用统一规定的名词和符号。 (5)应独立完成实验报告的书写,严禁抄袭、复印,一经发现,以零分论处。 实验报告批改说明 实验报告的批改要及时、认真、仔细,一律用红色笔批改。实验报告的批改成绩采用百分制,具体评分标准由各院部自行制定。 实验报告装订要求 实验批改完毕后,任课老师将每门课程的每个实验项目的实验报告以自然班为单位、按学号升序排列,装订成册,并附上一份该门课程的实验大纲。

实验项目名称:1、Protel99SE的基本操作 实验学时: 2 实验地点: B513 实验日期:2013年2月26日实验成绩: 批改教师:徐志国批改时间: 一、实验目的和要求 1、实验目的 Protel99SE的基本操作主要包括文件的建立,导入导出,为文件进行加密,创建工作组及设置成员权限等操作。本训练的目的主要是掌握这些基本操作。 2、实验要求 (1)按要求认真操作实验步骤中的每一条。 (2)做完实验后给出本实验的实验报告。 二、实验仪器和设备 1、WINDOWS XP环境 2、PROTEL99SE软件 三、实验过程 1、启动Protel99SE,在F盘建立名为Protel的文件夹,并在文件夹中建立名为lx1.ddb 设计数据库文件。 2、在上题数据库中建立一个名为小信号功率放大器电路的原理图文件(Schematic Document)、一个名为小信号功率放大器电路的印刷电路板文件(PCB Document),并打开然后在小信号功率放大器电路的原理图文件中放置几个元件并保存,然后将小信号功率放大器电路.SCH、小信号功率放大器电路. PCB导出到桌面上。 3、选择适当的菜单命令,将上题打开的文件窗口进行水平分割、垂直分割及平铺。 4、在Protel的文件夹中建立名为lx2.ddb设计数据库文件,文件类型Windows File System。 5、在Protel的文件夹中建立名为lx3.ddb设计数据库文件,文件类型MS Access Database,并对数据库进行加密,密码为123456。观察Windows File System及MS Access Database两种类型的区别。 6、在Protel的文件夹中建立名为lx4.ddb设计数据库文件,将步骤2中桌面上的小信号功率放大器电路.SCH导入。 7、在Protel的文件夹中建立名为lx5.ddb设计数据库文件,创建两个设计组成员(Guest)zhanghong和wangyan,分别输入密码。设置工作权限,admin的权限为(Read)、写(Write)、删除(Delete)、创建(Create),Guest的权限为(Read)、写(Write)。

相关主题
文本预览
相关文档 最新文档