当前位置:文档之家› 长安大学电子课程设计温度测量与控制

长安大学电子课程设计温度测量与控制

长安大学电子课程设计温度测量与控制
长安大学电子课程设计温度测量与控制

长安大学

电子技术课程设计

(温度测量与控制电路)

专业电气工程与其自动化

班级32040901

姓名李朝

指导教师田莉娟

日期2011年6月30日

前言

温度测量与控制电路广泛应用于生产生活中的各个方面,特别是在工业生产中,温度自动控制已经成为一个相当成熟的技术。本次课程设计给我们创造了良好的学习机会:一是查阅资料将自己所学的数字电子技术,模拟电子技术,以与传感器的相关知识综合运用,二是系统了解温度监测特别是工业上的温度控制的详细过程,为日后的学习和工作增长知识,积累经验。

在确定课设题目,经仔细分析问题后,实现温度的测量与控制方法很多,大致可以分为两大类型,一种是以单片机为主的软硬件结合方式,另一种是用简单芯片构成实现电路。由于单片机知识的匮乏,我们决定用后者实现。共同确定了总的电路结构,将设计分为三部分,李朝负责温度传感部分,谌新力负责温度显示和温度范围控制部分,肖阳负责温度控制执行电路和声光报警部分。温度传感部分由热电偶构成的温度传感器,数字显示和设定控制部分由模数转换器AD574A、281024 CMOS EEPROM、锁存器74LS175等组成,声光报警和温控加热降温执行电路主要用时基芯片555构成的多谐振荡器和单稳态电路组成。在确定了单元电路的设计方案后,我们在总结出总体方案框图的基础上,应用Multisim11.0仿真软件画出了各单元模块电路图,最后汇总电路图。

由于缺少实践经验,并且知识有限,所以本次设计中难免存在缺点和错误,敬请老师批评指正。

李朝

2010年6月20日

目录

温度测量与控制电路 (4)

摘要 (4)

一、系统综述和总体方案论证与选择 (5)

二、单元电路设计 (6)

(一)温度传感模块 (6)

(2)冷接点温度补偿方法的选择 (11)

(3)滤波方法的讨论 (16)

(4)电路的改进 (17)

(5)仿真模拟 (18)

(二)声光报警 (20)

(三)温度控制执行 (21)

三、结束语 (21)

四、参考文献 (22)

五、元器件明细 (23)

六、收获体会 (31)

七、鸣谢 (32)

八、【附录】 (32)

评语 ........................................................................................................ 错误!未定义书签。

温度测量与控制电路

摘要

温度测量与控制电路是在实际应用中相当广泛的测量电路。本次设计主要运用基本的模拟电子技术和数字电子技术的知识,从基本的单元电路出发,实现了温度测量与控制电路的设计。总体设计中的主要思想:一、达到设计要求;

二、尽量应用所学知识;三、设计力求系统简单可靠,有实际价值。温度传感采用热电偶和温度补偿原理。大家共同商议共同确定了总的电路结构,将设计分为三部分,李朝负责温度传感部分,谌新力负责温度显示和温度范围控制部分,肖阳负责温度控制执行电路和声光报警部分AD转换部分使用集成芯片AD574A;二进制到8421BCD码的转换用EEPROM 281024实现;显示译码部分用74LS48和数码管实现;温度控制范围设定采用数字设定方式,用74LS160十进制加计数器和锁存器74LS175实现;温度的判断比较数值比较器74LS85的级联实现;通过使用74LS160和ADG508F实现了多路温度循环监测功能。声光报警加入了单稳态。温度控制执行部分采用555构成的单稳态电路,提高了加热系统与降温系统的稳定性和实用性。

关键词

温度传感器 A/D转换控制温度声光报警二进制转BCD 译码显示

技术要求

1、测量温度范围为200C~1650C,精度 0.50C;

2、被测量温度与控制温度均可数字显示;

3、控制温度连续可调;

4、温度超过设定值时,产生声光报警。

一、系统综述和总体方案论证与选择

方案A.

如图1-1所示,温度传感器部分将温度线性地转变为电压信号,经过滤波放大,一路输入A/D转换电路,经过译码进行数字显示,另一路与滑变分压经过电压比较器进行比较输出高低电平指示信号,温度控制执行模块和声光报警部分。

图1-1 总体方案A

方案B.

如图1-2所示,温度传感和A/D转换,译码显示,温控执行和报警均与方案A相同,不同处在于控制温度设定方式和温度超限判断方式。方案A的超限判断模块和控制温度设定主要使用模拟信号,该方案易受外界干扰如使用环境温度等因素,另外由滑变设定温度不易调节精确,实际中,若采用电池供电,电源电压的变化会影响其温控范围的准确性。方案B主要采用数字芯片逻辑控制实现,其工作的稳定性准确性和功能扩展性较强。

图1-2 总体方案B

二、单元电路设计

(一)温度传感模块

关于温度传感方法的选择

常用的具有传感功能的电路,有利用铂电阻,利用二极管,利用三级管,利用铂电阻,或直接利用现有的具有温度传感功能的芯片。

1利用铂电阻测温度

原理:铂电阻的组织随温度的变化而变化,通过电阻两端电压的变化来反映温度的变化。

把电阻两端电压变化的信号经过处理后,就可以和预设电压进行比较,并显示。

其电路如图1-1所示

图1-1 铂电阻测温电路的传感部分(其中R1为铂电阻)

排除理由:热电阻在一定的范围内,有良好的线性关系,但是这个范围很窄,达不到课题要求的范围。而如果进行电阻线性化,则电路更加复杂,而且由于测

温需要相对精确,为避免过多电路造成噪声等不利影响,将这个方案排除。

2 利用二极管测温度

原理:和铂电阻相似,但是利用的是二极管电压随温度变化而变化。使用中可以利用桥路将其连接(如图1-2所示),并用放大器放大后输出。这样的测温电路简易

且实用。

排除理由:灵敏度不高,变化范围太窄,线性化不是很好。

3 利用三极管测温度

原理:利用了硅晶体管的基极和发射极之间的负温度系数,如图1-3所示。

图1-3三级管测温度电路图

排除理由:灵敏度不高,可以用作判断报警,但不宜用于测量温度。

4现有的温度传感芯片

原理:现有的芯片如LM335,AD590,LTC1052等。

排除理由:其工作电压范围最大为125℃,超过后虽然也有一定的线性关系,但若用于精度较高的测量温度电路就不太可行了。

5热电偶测温法

原理:如果两种不同成分的均质导体形成回路,直接测温端叫做测量端,接线端子叫做参比端,当两端存在温差时,就会在回路中产生电流,即塞贝克效应。热电

势的大小只与热电偶导体材质以与两端温度有关。与热电偶导体的长度和直径

无关。

热电偶测温电路是以热点偶为基础进行测温。

采用理由:热偶在很大范围内线性非常明显,且测温范围广,响应速度快,抗干扰性

强,所以最终选择了用热电偶组成传感电路。

(二)传感电路的整体思路说明

图2 传感电路(改进前)

设计思路框架图如下:

思路说明:K型热电偶作为主要的测温元件,其温度与电压的关系已知且稳定,线性化很好。由于点偶的特殊性,要对其进行冷接点补偿(详细内容在第四

部分说明)。由于补偿选择的方案会产生很小的一部分噪声,所以要滤波

(详细内容在第五部分)。由放大,加入另一电压信号,比例减法这三个

部分构成了运算电路,这个电路不是单纯的放大,而是根据K型热敏的

温度与电压的关系所设计的电路,这样就是把温度一比一地用电压表示

出来(详细内容在第三部分)。最后没有输出1 mV/℃的信号而是输出一

个23.84mV/℃的信号,是为了接下来的电路控制和显示电路的需要。(三)电路运算的说明

注意:这里的运算电路与热电偶本身温度与电压的关系函数互为反函数思路说明:对于热点偶,其电压与温度满足U=0.226T-0.707其中电压对应为第一级放大的输入电压,也就是进行过温度补偿之后的电压,单位为毫伏,T为热力学

温度。由于课题要求得到摄氏温度,所以进行换算得

U=0.226(t+273.5)-0.707.从温度经过热电偶转化成电压,就是利用了这个公

式。然后求这个函数的反函数,得到t=(100U-6100.4)/22.6.这个函数就是

运算电路所实现的函数。这样一来,测得的温度值比如是x,经过热偶的电压

与温度的关系式后,得到一个电压,设为y,满足y=f(x).再经过后面的运算

电路,又出现新的电压,此时运算后的电压(设为z)与运算前的电压满足

关系式z=g(y),f与g分别对应U=0.226(t+273.5)-0.707和

t=(100U-6100.4)/22.6,他们互为反函数,故x=z.这样就实现了把温度的单

位变成毫伏的转化,且每毫伏对应一度。如果把输出的电压直接接在毫伏表

上,上面显示的读数,就是温度,不用再做任何的换算。但是由于后面电路

对显示和判断的需要,进行了一次放大,放大到每摄氏度对应24.32mV(这

个数值是负责显示数据的同学提供的)。

根据得到的公式t=(100U-6100.4)/22.6,可以看出,需要进行放大,

减法,除法的运算。由此公式算出的数据单位为,1mV/℃,为了使最后输出为

23.84mV/℃,则还需一次放大。这样,运算电路的构成如下:首先用一个同

相比例电路,实现100倍的放大,再由滑动变阻器提供6100.4mV的电压,最

后减法运算,除法运算和乘法运算,用一个比例减法运算器一次完成。同相

比例运算满足:A=1+R4/R3,这里取R4=99千欧姆,R3=1千欧姆。提供电压用

的是200欧姆大小的滑动变阻器接在9V的电压上。然后两者进行比例减法运

算。对于比例减法运算电路,当R1=R2,R5=R6时,放大倍数为R6/R1(U2-U1),

U1为变阻器上取得的电压,U2为一级放大传来的电压。由公式可知,当比例

减法的比值为1:22.6时,得到1mV/℃的输出,而为了得到23.84mV/℃的输

出,则把比值调整为23.84:22.6.最终选择R1=R2=226欧,R5=R6=238.4欧。

总之,整个运算电路,把温度通过一个函数(热点偶的电压与温度关系函数)转变为电压信号,再通过这个函数的反函数(运算电路)把电压变

成温度对应的变压,可以说是通过整个电路,给温度换了单位,把摄氏度换

为毫伏,且1毫伏对应1摄氏度。最后为了显示和控制的需要,进行了一次

放大。

(2)冷接点温度补偿方法的选择

1冷接点补偿的原因:热电偶是两种不同材料组成在一起形成的。如果热电偶的两端

放在不同的温度区域中,会产生一定的电势。热偶输出的是两个端口温度差的函数。通常温度到的一端成为热端(或工作端),温度低的那端称为冷端(或自由端),则输出电压为U=f(T2-T1)若冷端为0,则输出电压为测试温度(热端)的单值函数。但实际中,冷端的温度不为零,则要进行补偿,是其在相应的温度下的电压为零度时的电压。如果精度要求不高时,可以近似忽略,但是精度要求高时,必须进行冷接点补偿。

2冷接点补偿的总体思路:查资料得知,K点偶所需的补偿电压为41.269μV/℃,可使其两端电压变为0℃时两端的电压大小,从而达到补偿的效果

3冷接点补偿的具体方法的选择:常见的补偿方法有:冷端恒温法,补偿导线法,数字补偿,查表法,不平衡电桥法,计算法,传感器温度补偿法。

(1)冷端恒温法

原理:把冷端泡在冰水混合物中,使其温度稳定在0℃.

排除理由;制作麻烦,时间长了还要对冰水混合物进行维护,如更换。而且一般的恒温容器,

大小都有限制,这样热偶的大小也有了限制。这种方法只适合在实验室里采用,不用于实际

当中。

(2)补偿导线法

原理:将热电偶的参考端经过补偿导线与仪表的输入端相连接,其补偿导线的热电特性与热电偶本身相似。

排除理由:精度不高,而且自己对这个方法没有完全理解,所以排除此方法。

(3)数字补偿法

原理:如图4-3-3所示,集成温度传感器与热电偶的冷端置于同一温度中,集成温度传感器将冷端转换为电信号,通过放大调整成0至5V的电压,然后经A/D转换送入单

片机。同时把点偶的输出电压经过放大和A/D转换后也送入单片机。将两个信号相加,实现

温度补偿。

图4-3-3 数字补偿法原理图

排除理由:用到了传感器和单片机,虽然精度很高,结构过于复杂,成本也相应增加,适用

于精度要求很高的测温,而在一般条件下使用则没有必要,所以将这个方案排除。

(4)查表法原理:将热电偶的热电势与温度之间的函数关系用表格形式存入计算机,把热点偶的热电

势与温度传感器测得的冷端环境温度对应的电势叠加后查表,并转化为相应得温度值。可以借助计算机完成,或是人工完成,取决于精度的要求和实效性的要求

图 4-3-4 查表法程序流程图

排除理由:若以上程序由计算机控制,则成本过大,如果不是特殊用途,则不必要;

若是由人工完成,则实效性很差,只能用于单纯的测温,不可能实现

实时监控或者报警。所以将这个方案舍弃

(5)利用不平衡电桥

原理:串联一个不平衡电桥,当参考端随温度上升或下降时,不平衡电桥对应进行补偿。具体电路如图4-3-5所示。其中R1,R2,R3为同种材料,具有相同的温度系数,而R4的温度系数比他们的都大。当温度为零度时,R1R2=R3R4.变化时,由于R4变化大,导致电桥两端的电压变化,从而进行温度补偿。

图4-3-5利用不平衡电桥温度补偿原理图

排除理由:虽然电路简单,但是在参数的确定上十分复杂,而且不会像传感器那样灵敏。最主要的是根据资料,电桥补偿适用的温度范围很小,所以将这个方法排除。

(6)计算法

原理:将在冷接端产生的温度带入相应函数式中计算所需补偿的电压,并与测得的输出电压叠加。然后再进行修正,计算。

排除理由:缺点查表补偿法相似,都是因为运算复杂,如果计算机实施,投入过大,如果人实施,时效性差。所以将这种方法排除。

(7)传感器温度补偿

原理:温度传感器会根据温度的变化,其自身两端的电压或电流也相应发生变化,可由此对热电偶冷接点进行补偿,有电压电流两种传感器,因而也有两种补偿方法。

1、电压型模拟集成电路冷端补偿法:

图4-3-7-1电压型模拟集成电路冷端补偿法

说明:LM335的温度系数为+10mV/K,输出电压经R2和R3分压后,得到补偿电压,通过选择

R2和R3的大小,使电压和温度的关系变为达到温度补偿作用(如图4-3-7-1)

2、电流型模拟集成电路冷端补偿法:

说明:AD590是电流型模拟温度传感器,产生的电流在电阻上产生电压,通过滑动变阻器的调整,使补偿电压达到41.269μV/℃

图4-3-7-2电流型模拟集成电路冷端补偿法

说明:1在整体测温方案论证里面,曾经排除了用传感器直接测温的方法,主要是因为其温度变化范围不够,一般到125℃,事实上,通过对其温度和电压或电流的观察可以看出,超过125℃之后,还是有一定的线性程度。但是如果用于测量温度的话,会对测量产生明显的影响,所以没有选择传感器直接测温度这种方法。但对于热电偶来说,由于每升高一度,电压变化相对比较大,所以作为温度补偿的模拟传感器线性化不是特别强时,造成的影响其实是可以忽略的。相对于其它方法,热电偶和温度传感器一起使用的优势就在于,有很大的温度变化范围,而且线性化强,所以最终选择了这一方法。

2在确定使用集成温度传感器进行冷接点温度补偿后,又发现了更适合的芯片LT1025.由于要求精度高,通过电阻分压后要达到很精确的数字。这也要求电阻不随温度变化,但是电阻随温度都有一定程度的变化,这样会产生误差,所以要尽可能地减少使用电阻。LT1025的1,6,7,8端口能分别直接提供60.9μV/℃,6μV/℃,40.6μV/℃,51.7μV/℃.相差0.669μV/℃,非常小的相差,完全可以忽略,这样电路就变得简单,而且排除了温度对电阻不利影响。

3由于决定最后输出的因素非常多,所以要进行校准,即利用已有的数据进行调整,通过对实验中的滑动变阻器的调整,达到校准的目的,如果还有很大偏差,则对电阻进行更换。

4整个补偿电路中的导线,尽量不要使用随温度变化太大的材料,不然会对冷接点补偿造成影响,而且也要控制导线的长度,并用绝热性强的材料来包裹导线,尽量减少金属自身的热电动势的产生。

5 利用温度传感器时,会产生一定的噪声干扰,所以要进行滤波(详细部分在第五部分说明)。(3)滤波方法的讨论

1滤波的原因:从传感器的资料说明上发现LT1025会产生0.1-10Hz的噪声,所以要设置滤波环节。

2滤波方法的选择:滤波方法有很多,这里滤波的最终效果是要尽可能把交流信号去掉,所以没必要用带阻滤波电路,只要让滤过的最大值小于0.1Hz即可。下面是几种滤波电路的比较。

一阶有源滤波电路:

图5-2-1 一阶有源滤波电路

说明:RC低通电路与负载之间插入一级同相比例放大电路,为一阶RC低通滤波器,由于同相比例放大器的输入电阻大,输出电阻小,隔离了负载对滤波器的影

响,而且还能起放大作用。

二阶有源滤波电路:

图5-2-2二阶有源滤波电路

说明:它是由两节RC滤波电路和同相比例放大电路组成,具有输入阻抗高,输出阻抗低的特点。

后来考虑了一种简单的方法,就是直接在输出电压时并联一个接地,这样就可以把大部分的交流信号滤过。选4.7μF是通过和类似电路的比较,而且这个数值的电容电解电容就可以做到,体积大小也合适。而且对于一阶二阶有源滤波电路的参数设定,非常复杂,所以没必要在这里采用精度很大的这种滤波电路,因为毕竟产生的噪声不是很大。

还有一种方法。由于0.1Hz非常小,滤去不是很容易,所以考虑把这里的直流信号,通过一个开关信号,变成交流信号。在一个三极管,基极加入方波信号,然后在发射极输入信号,在集电极输出信号,通过改变方波信号的频率,等于将直流信号变成交流信号。这样只要把得到的交流信号的频率以下的频率滤去即可。

(4)电路的改进

发现了一种不用接直流电压就可以工作的放大器,这样使电路更加简单,改进后的如图6-1

图6-1温度传感总电路图(改进后)

(5)仿真模拟

模拟图见下一页

说明:1、由于热敏电阻和冷接点补偿无法模拟,这里只是运算电路的模拟,当输入电压为100mV时,根据函数t=(100U-6100.4)/22.6,乘以23.84后运算得4.114V,与显示值相比较。由于模拟时滑动变阻器只能5%变化,而对于这个函数,变阻器分压取得的电压值对结果影响很大,此时取得的本应为6100.4的值应该为9000*65%=5858.

所以最后得到的值应为t=(100U-5858)/22.6再乘以23.84,最后得到数值再比较。

2、如果U的值从0开始,则出现负值,所以选择测试电压时要注意使它的值,使输出结果为正。

(二)数字显示与温度范围控制模块

数字显示模块与温度范围控制模块将温度传感器部分传来的模拟信号转变为数字信号,并在数码管上进行显示。

设置控制温度时,将“温度监测\控制温度设置”开关拨至“控制温度设置档”,再通过“百位设定”“十位设定”“个位设定”“小数位设定”四个按钮设定控制温度范围(数码管上会有数字显示),并通过“锁定温度上限”“锁定温度下限”来锁定温度限值。

“关闭\开启报警与温控执行系统”开关控制报警系统和温控执行系统的关闭和开启,打开仪器前,应先将其拨至“关闭”档,设置温度完毕后,再拨至“开启”。

通过“循环监测\单路监测”开关,可选择两种监测模式,数码显示管U98会实时显示当前所监测的温度线路序号。

通过“监测线路切换频率调整”旋钮可调节,温度线路的切换频率(5~10s),如需延长,可将电阻R2的换为阻值更大的电阻。(具体电路图见附件1)

(二)声光报警

如图3所示,当输入信号为低电平时,报警电路不工作。当有高电平信号输入时,模拟开关闭合,多谐震荡电路开始工作。发光二级管闪烁,并发出蜂鸣报警。报警时蜂鸣的频率和发光二极管闪烁的频率均为2Hz,作用的占空比为58.3%。

图3 声光报警电路图

多点温度检测系统课程设计

课程设计(论文)任务及评语 院(系):电子与信息工程学院教研室:电子信息教研室

摘要 在工、农业生产和日常生活中,对温度的测量及控制占据着极其重要地位。首先让我们了解一下多点温度检测系统在各个方面的应用领域:消防电气的非破坏性温度检测,电力、电讯设备之过热故障预知检测,空调系统的温度检测,各类运输工具之组件的过热检测,保全与监视系统之应用,医疗与健诊的温度测试,化工、机械等设备温度过热检测。温度检测系统应用十分广阔。 DS18B20是一种高精度数字式温度传感器,由于其具有单总线的独特优点,可以使用户轻松地组建起传感器网络,并可使多点温度测量电路变得简单、可靠。本文结合实际使用经验,介绍了DS18B20数字温度传感器在单片机下的硬件连接及软件编程,并给出了软件流程图。 关键字:温度测量;单总线;数字温度传感器;单片机

目录 第1章绪论 (1) 1.1系统背景 (1) 1.2系统概述 (1) 1.3系统设计方案 (1) 第2章硬件设计 (3) 2.1单片机系统设计 (3) 2.2显示电路设计 (4) 2.3键盘电路设计 (4) 2.4报警电路设计 (5) 2.5通信模块设计 (6) 第3章软件设计 (7) 3.1软件实现 (7) 3.1.1温度测量程序流程图: (7) 3.1.2键盘程序设计 (8) 第4章系统设计与分析 (10) 4.1系统原理图 (10) 4.2系统原理综述 (10) 第5章设计总结 (11) 参考文献 (12) 附录Ⅰ:整体电路图 (13) 附录Ⅱ:器件清单 (14) 附录Ⅲ:程序清单 (15)

第1章绪论 1.1系统背景 在工、农业生产和日常生活中,对温度的测量及控制占据着极其重要地位。首先让我们了解一下多点温度检测系统在各个方面的应用领域:消防电气的非破坏性温度检测,电力、电讯设备之过热故障预知检测,空调系统的温度检测,各类运输工具之组件的过热检测,保全与监视系统之应用,医疗与健诊的温度测试,化工、机械…等设备温度过热检测。温度检测系统应用十分广阔。 1.2系统概述 本设计运用主从分布式思想,由一台PC微型计算机,单片机多点温度数据采集,组成两级分布式多点温度测量的巡回检测系统。该系统采用 RS-232串行通讯标准,通过PC机控制单片机进行现场温度采集。温度值既可以送回主控PC进行数据处理,由显示器显示。也可以由单片机单独工作,实时显示当前各点的温度值,对各点进行控制。 单片机采用的是基于数字温度传感器DS18B20的系统。DS18B20利用单总线的特点可以方便的实现多点温度的测量,轻松的组建传感器网络,系统的抗干扰性好、设计灵活、方便,而且适合于在恶劣的环境下进行现场温度测量。本系统可以应用在大型工业及民用常温多点监测场合。如粮食仓储系统、楼宇自动化系统、医疗与健诊的温度测试、空调系统的温度检测、石化、机械等。 1.3系统设计方案 本设计方案以DS18B20为传感器、AT89C51单片机为控制核心组成多点温度测试系统,该系统包括传感器电路、键盘与显示电路、串口通信电路组成。采用美国Dallas半导体公司推出的数字温度传感器DS18B20,属于新一代适配微处理器的智能温度传感器。它具有独特的单总线接口,仅需要占用一个通用I/O端口即可完成与微处理器的通信。全部传感元件及转换电路集成在形如一只三极管的集成电路内。

数字温度计课程设计报告

课程设计报告书 课程名称:电工电子课程设计 题目:数字温度计 学院:信息工程学院 系:电气工程及其自动化 专业班级:电力系统及其自动化113 学号:6100311096 学生姓名:李超红 起讫日期:6月19日——7月2日 指导教师:郑朝丹职称:讲师 学院审核(签名): 审核日期:

内容摘要: 目前,单片机已经在测控领域中获得了广泛的应用,它除了可以测量电信以外,还可以用于温度、湿度等非电信号的测量,能独立工作的单片机温度检测、温度控制系统已经广泛应用很多领域。 单片机是一种特殊的计算机,它是在一块半导体的芯片上集成了CPU,存储器,RAM,ROM,及输入与输出接口电路,这种芯片称为:单片机。由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便的优点,使它迅速的得到了推广应用,目前已成为测量控制系统中的优选机种和新电子产品中的关键部件。单片机已不仅仅局限于小系统的概念,现已广泛应用于家用电器,机电产品,办公自动化用品,机器人,儿童玩具,航天器等领域。 本次课程设计,就是用单片机实现温度控制,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。本次采用DS18B20数字温度传感器来实现基于51单片机的数字温度计的设计。 本文介绍了一个基于STC89C52单片机和数字温度传感器DS18B20的测温 系统,并用LED数码管显示温度值,易于读数。系统电路简单、操作简便,能 任意设定报警温度并可查询最近的10个温度值,系统具有可靠性高、成本低、功耗小等优点。 关键词:单片机数字温度传感器数字温度计

温度传感器课程设计

: 温度传感器课程设计报告 专业:电气化 年级: 13-2 学院:机电院 { 姓名:崔海艳 学号:35 … ^ -- 目录

1 引言 (3) 2 设计要求 (3) 3 工作原理 (3) 4 方案设计 (4) … 5 单元电路的设计和元器件的选择 (6) 微控制器模块 (6) 温度采集模块 (7) 报警模块 (9) 温度显示模块 (9) 其它外围电路 (10) 6 电源模块 (12) 7 程序设计 (13) — 流程图 (13) 程序分析 (16) 8. 实例测试 (18) 总结 (18) 参考文献 (19) \

。 1 引言 传感器是一种有趣的且值得研究的装置,它能通过测量外界的物理量,化学量或生物量来捕捉知识和信息,并能将被测量的非电学量转换成电学量。在生活中它为我们提供了很多方便,在传感器产品中,温度传感器是最主要的需求产品,它被应用在多个方面。总而言之,传感器的出现改变了我们的生活,生活因使用传感器也变得多姿多彩。 温度控制系统广泛应用于社会生活的各个领域,如家电、汽车、材料、电力电子等,常用的控制电路根据应用场合和所要求的性能指标有所不同,在工业企业中,如何提高温度控制对象的运行性能一直以来都是控制人员和现场技术人员努力解决的问题。这类控制对象惯性大,滞后现象严重,存在很多不确定的因素,难以建立精确的数学模型,从而导致控制系统性能不佳,甚至出现控制不稳定、失控现象。传统的继电器调温电路简单实用,但由于继电器动作频繁,可能会因触点不良而影响正常工作。控制领域还大量采用传统的PID控制方式,但PID控制对象的模型难以建立,并且当扰动因素不明确时,参数调整不便仍是普遍存在的问题。而采用数字温度传感器DS18B20,因其内部集成了A/D转换器,使得电路结构更加简单,而且减少了温度测量转换时的精度损失,使得测量温度更加精确。数字温度传感器DS18B20只用一个引脚即可与单片机进行通信,大大减少了接线的麻烦,使得单片机更加具有扩展性。由于DS18B20芯片的小型化,更加可以通过单跳数据线就可以和主电路连接,故可以把数字温度传感器DS18B20做成探头,探入到狭小的地方,增加了实用性。更能串接多个数字温度传感器DS18B20进行范围的温度检测 2 设计要求

基于单片机的温度监测电路课程设计报告书

唐山学院 Protel DXP 课程设计 题目基于单片机的温度监测电路 系 (部) 信息工程系 班级 姓名 学号 指导教师 2013年12月 16日至 2013年 12月 27日共 2 周 2013年 12 月 30 日

《Protel DXP》课程设计任务书

课程设计成绩评定表

目录 1引言 (1) 2 设计任务 (2) 2.1设计容 (2) 2.2设计要求 (2) 3原理图设计 (3) 3.1电路的总体工作原理 (3) 3.2 单片机最小系统的设计 (4) 3.3 电源电路 (5) 3.4 温度传感电路设计 (5) 3.5 键盘电路的设计 (7) 3.6 显示电路的设计 (8) 3.7 温度控制电路的设计 (10) 4 系统的软件设计 (11) 4.1 系统的主程序设计 (11) 4.2 中断程序的设计 (11) 6 设计总结 (13) 致 (14) 参考文献 (15) 附录 (16)

1引言 在工、农业生产和日常生活中,对温度的测量及控制占据着极其重要地位。首先让我们了解一下多点温度检测系统在各个方面的应用领域:消防电气的非破坏性温度检测,电力、电讯设备之过热故障预知检测,空调系统的温度检测,各类运输工具之组件的过热检测,保全与监视系统之应用,医疗与健诊的温度测试,化工、机械…等设备温度过热检测。温度检测系统应用十分广阔。 本设计运用主从分布式思想,由一台上位机(PC微型计算机),下位机(单片机)多点温度数据采集,组成两级分布式多点温度测量的巡回检测系统。该系统采用RS-232串行通讯标准,通过上位机(PC)控制下位机(单片机)进行现场温度采集。温度值既可以送回主控PC进行数据处理,由显示器显示。也可以由下位机单独工作,实时显示当前各点的温度值,对各点进行控制。 下位机采用的是单片机基于数字温度传感器DS18B20的系统。DS18B20利用单总线的特点可以方便的实现多点温度的测量,轻松的组建传感器网络,系统的抗干扰性好、设计灵活、方便,而且适合于在恶劣的环境下进行现场温度测量。本系统可以应用在大型工业及民用常温多点监测场合。如粮食仓储系统、楼宇自动化系统、温控制程生产线之温度影像检测、医疗与健诊的温度测试、空调系统的温度检测、石化、机械…等。

温度检测显示与报警系统课程设计

课程设计报告书 课设名称:温度检测、显示与报警系统年级专业及班级: 姓名: 学号: 指导老师: 指导老师签名: 2014年 5月4 日摘要

温度是一种最基本的环境参数,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。 温度是一个十分重要的物理量,对它的测量与控制有十分重要的意义。随着现代工农业技术的发展及人们对生活环境要求的提高,人们也迫切需要检测与控制温度:如大气及空调房中温度的高低,直接影响着人们的身体健康;粮仓温度的检测,防止粮食发霉,最大限度地保持粮食原有新鲜品质,达到粮食保质保鲜的目的;工业易燃品的存放。 本次课程设计介绍了以STC89C51单片机为核心的温度检测报警系统的工作原理和设计方法。温度信号由温度传感器芯片DS18B20采集,并以数字信号的方式传送给单片机,单片机再控制数码管驱动芯片74LS573驱动4位分立式数码管显示实时温度,当检测到的温度超出了给定的温度范围(默认下限为20℃,默认上限为35℃),系统将输出报警声。本系统的主要硬件电路包括:温度检测电路,数码管驱动电路,报警电路。另外本系统的软件部分占了很大的比重,主要的软件模块包括:温度传感器程序,数码管驱动及显示程序,报警程序。 系统的主要功能及工作流程 总体设计框图: 单片机STC89C51 温度采集 (DBS18B20) 阈值设定(键盘) 报警(蜂鸣器) 显示 主要功能: 1、能正确检测温度; 2、在数码管上实时显示温度; 3、当温度超过或低于设定的阈值时,蜂鸣器报警; 4、可通过矩阵键盘调整温度报警阈值;

温度测量课程设计报告

湖州师范学院课程设计总结 报告 课程名称单片机应用系统设计 设计题目温度测控与报警系统 专业电子与信息工程 班级080823班 姓名杨健 学号08082345 指导教师王玉玲 报告成绩 信息与工程学院 二〇一一年三月二十九日

《单片机应用系统设计》课程设计任务书 一、课题名称 《温度测量与报警系统》 二、设计任务 1.利用四位数码管显示当前温度; 2.通过键盘修改报警温度上限与下限值; 3. 具有报警功能,报警温度上限与下限值能修改,利用蜂鸣器实现报警;上限温度值到达时发出“嘀-嘀-嘀-嘀”的报警音。 三、设计报告撰写规范 单片微机应用系统设计总结报告正文,主要含以下内容(硬件、软件各部分内容也可组合起来进行撰写说明): 1.系统总体设计方案(画出系统原理框图、方案的论证与比较等内容); 2.硬件系统分析与设计(各模块或单元电路的设计、工作原理阐述、参数计算、元器 件选择、完整的系统电路图、系统所需的元器件清单。等内容); 3.软件系统分析与设计(各功能模块的程序设计流程图与说明、软件系统设计、软件 抗干扰措施、完整的程序等内容); 4.系统仿真调试与参数测量(使用仪器仪表、故障排除、电路硬件和软件调试的方法 和技巧、指标测试的参数和波形、测量误差分析); 5.总结(本课题核心内容及使用价值、电路设计、软件设计的特点和选择方案的优缺 点、改进方向和意见等); 6.按统一格式列出主要参考文献。

《电子琴设计》 课程设计总结报告 目录 一、系统总体设计方案--------------------------------------------------------------------------- 二、硬件系统设计与分析----------------------------------------------------------------------- 三、软件系统设计与分析------------------------------------------------------------------------ 四、系统仿真调试与参数测量----------------------------------------------------------------- 五、总结--------------------------------------------------------------------------------------------

基于单片机的多点温度检测系统课程设计

单片机课程(设计) 设计目题:基于单片机的多点温度检测系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

课程设计报告(简易温度测量)

课 程 设 计 设计题目:简易温度测量仪 学院:通信与信息工程学院 专业:广播电视工程 班级:0151002班 姓名:xxoo 指导老师:应俊

目录 摘要 (3) 一、课程设计题目 (3) 二、课程设计的目的 (3) 三、设计要求 (3) 四、实施方案及步骤 (4) (一)方案选择与论证 (4) (二)电路模块设计 (5) 1.温度传感器模块 (5) 2.显示模块 (5) 3.报警模块 (6) (三)系统的具体设计与实现 (7) 1.温度控制模块 (7) 2.温度显示 (10) 3.报警搜索 (11) (四)测试方案与测试结果 (14) 1.测试目标 (12) 2.测试范围 (12) 3.测试内容 (12) 4.测试方法 (12) 5.测试结果 (13) 五、附件 (13) 附件1元件清单 (18) 参考文献 (13)

摘要 信息时代,电脑,随着半导体工业的飞速发展,已渗入到人们日常的生产生活的方方面面当中。我们的生活、学习、工作离不开电脑,工业控制、环境监控等也离不开电脑。本文将以单片机SST89E51为控制主机,以达拉斯半导体DS18B20,在重邮51学习板上实现温度测量及报警系统,详细阐述如何利用51单片机及DS18B20开发温度测量及温度超界报警系统。 关键词:单片机,数字温度器,蜂鸣器;AT89C51,DS18B20 一、课程设计题目 简易温度测量仪 二、课程设计的目的 1、利用单片机SST89E51学习板和DS18B20,蜂鸣器组成的温度传感检测及温度超界报警系统; 2、熟悉使用学习板,了解温度传感器的功能;并且运用我们学习到的数字和模拟电子电路,单片机,C语言程序设计等知识完成系统; 3、通过从理论联系实际,从题目出发分析和设计报警电路、温度采集电路、程序的编写、调试、运行等完成一个完整的实验过程,培养思考及动手能力; 4、充分发挥主观能动性,培养团队的协助能力,达到团队独立完成实际任务。 三、设计要求 以单片机SST89E51学习板作为本次实验的核心器件,外接报警电路,温度采集电路。组成一个温度采集报警系统。温度采集使用DS18B20芯片,报警电路用蜂鸣器作为报警器件。测量温度范围0—125℃;用数码管显示温度,显示

基于单片机的数字温度计设计课程设计

摘要 温度的检测与控制是工业生产过程中比较典型的应用。本设计以AT89C52单片机为核心,采用DS18B20温度传感器检测温度,由温度采集、温度显示,温度报警等功能模块组成。基于题目基本要求,本系统对温度采集和温度显示系统行了重点设计。本系统大部分功能能由软件实现,吸收了硬件软件化的思想。实际操作时,各功能在开发板上也能完美实现。本系统实现了要求的基本功能,其余发挥部分也能实现。 关键字:AT89C52单片机、DS18B20温度传感器、数码管显示、温度采集

目录 一.绪论 ............................................................................................... 二.设计目的........................................................................................ 三.设计要求........................................................................................ 四.设计思路........................................................................................ 五.系统的硬件构成及功能 .............................................................. 5.1主控制器 .................................................................................. 5.2显示电路 .................................................................................. 5.3温度传感器 .............................................................................. 六.系统整体硬件电路 ...................................................................... 七.系统程序设计............................................................................... 八.测量及其结果分析......................................................................... 九.设计心得体会 ................................................................................ 十.参考文献........................................................................................ 附录1 源程序 附录2 元件清单及PCB图

重庆大学通信工程学院EDA课设——温度检测

控制核心及温度接收模块 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity zs4184_tem_kong is port(clk:in std_logic; reset:in std_logic; sda:inout std_logic; scl:inout std_logic; NUM_MS:out std_logic_vector(8 downto 1); NUM_LS:out std_logic_vector(8 downto 1)); end zs4184_tem_kong; architecture behav of zs4184_tem_kong is type state is (prepare,start,transmit_slave_address1,check _ack,transmit_pointer_byte1,r1check_ack,res tart,transmit_slave_address2,r2check_ack,rea d_MS,ACK,read_LS,NACK,stop); signal current_state:state; signal MS:std_logic_vector(8 downto 1); signal LS:std_logic_vector(8 downto 1); begin process(clk,reset) variable cnt:std_logic_vector(6 downto 0):="0000000"; variable cnt1:integer range 0 to 8:=8; variable count1:integer range 0 to 40:=0; variable slave_address1:std_logic_vector(8 downto 1); variable slave_address2:std_logic_vector(8 downto 1); variable pointer_byte:std_logic_vector(8 downto 1); begin if reset='0' then count1:=0; cnt:="0000000"; cnt1:=8; sda<='1'; scl<='1'; current_state<=prepare; slave_address1:="10010000"; --从机地址。。主机发送数据 slave_address2:="10010001"; --从机地址。。主机接收数据 pointer_byte:="00000000"; --温度寄存器 elsif clk'event and clk='1' then case current_state is when prepare=> cnt:=cnt+1; if cnt="0000100"then cnt:="0000000"; current_state<=start; else current_state<=prepare; end if; when start=> --起始信号 count1:=count1+1; case count1 is when 1=>sda<='1'; when 2=>scl<='1'; when 3=>sda<='0'; when 4=>scl<='0'; when 10=>count1:=0;current_state<=transmit_slav e_address1; when others=>null; end case; when transmit_slave_address1=> --从机地址。。主机发送数据 count1:=count1+1; case count1 is when 1=>sda<=slave_address1(cnt1); when 2=>scl<='1'; when 4=>cnt1:=cnt1-1;count1:=0;scl<='0'; if cnt1=0 then

嵌入式系统课程设计(温度检测报警系统)

嵌入式系统课程设计

姓名:班级:学号:

目录: 一.系统要求 二.设计方案 三.程序流程图四.软件设计 五.课程总结与个人体会

一、系统要求 使用STM32F103作为主控CPU设计一个温度综合测控系统,具体要求: 1、使用热敏电阻或者内部集成的温度传感器检测环境温度,每0.1秒检测一次温度,对检测到的温度进行数字滤波(可以使用平均法)。记录当前的温度值和时间。 2、使用计算机,通过串行通信获取STM32F103检测到的温度和所对应的时间。 3、使用计算机进行时间的设定。 4、使用计算机进行温度上限值和下限值的设定。 5、若超过上限值或者低于下限值,则STM32进行报警提示。

二、设计方案 本次课程设计的要求是使用STM32F103设计一个温度测控系统,这款单片机集成了很多的片上资源,功能十分强大,我使用了以下部分来完成课程设计的要求: 1、STM32F103内置了3个12位A/D转换模块,最快转换时间为1us。本次课程设计要求进行温度测定,于是使用了其中一个ADC对片上温度传感器的内部信号源进行转换。当有多个通道需要采集信号时,可以把ADC配置为按一定的顺序来对各个通道进行扫描转换,本设计只采集一个通道的信号,所以不使用扫描转换模式。本设计需要循环采集电压值,所以使用连续转换模式。 2、本次课程设计还使用到了DMA。DMA是一种高速的数据传输操作,允许在外部设备和储存器之间利用系统总线直接读写数据,不需要微处理器干预。使能ADC的DMA接口后,DMA控制器把转换值从ADC数据寄存器(ADC_DR)中转移到变量ADC_ConvertedValue中,当DMA传输完成后,在main函数中使用的ADC_ConvertedValue的内容就是ADC转换值了。 3、STM32内部的温度传感器和ADCx_IN16输入通道相连接,此通道把传感器输出的电压值转换成数字值。STM内部的温度传感器支持的温度范围:-40到125摄氏度。利用下列公式得出温度 温度(°C) = {(V25 - VSENSE) / Avg_Slope} + 25

温度检测及控制电路课程设计

模拟电路课程设计 指导老师: 学生姓名: 专业班级: 学号:

一、设计课题:温度监测及控制电路的设计 二、主要内容 1、设计由双臂电桥和差动输入集成运放组成的桥式放大电路。 2、掌握滞回比较器的性能和调试方法。 3、学会系统仿真、测量和调试。 。 三、设计要求 1、撰写设计说明书一份(3000字左右) 2、仿真 四、课程设计说明书的主要内容及撰写顺序 1、课题名称 2、设计任务书 3、中英文摘要和关键词 4、目录 5、绪论 6、正文(分章、节、小节三级标题撰写) 1)方案选择与论证; 2)方案的原理框图,总体电路图及原理说明; 3)单元电路设计与原理说明,元器件选择和电路参数计算说明; 4)电路仿真。对仿真中出现的问题进行分析,并说明解决的措施;测试、记录、整理与结果分析。 7、收获体会、存在问题和进一步的改进意见等。 8、参考文献 9、谢词 10、附录A:总电路图和PCB图 附录B:元器件清单 附录C:集成模块的管脚排列与管脚功能 五、参考文献

摘要 随着数字化时代的到来,用传统的水银或酒精温度计来测量温度,不仅测量时间长、读数不方便、而且功能单一,已经不能满足人们的要求。于是提出,测温电路利用铂热电阻桥式温度传感器监测外界温度的变化,通过三运放差分放大电路将温度传感器的阻值变化转换的电压信号的变化放大,然后利用A/D转换实现模拟信号到数字信号的转换,,根据模拟电路部分电路原理计算得出最后输出电压与温度值的关系, 并通过数码管显示当前值,使其与温度数值上相等,从而实现温度的测量;并利用单限比较器来实现对温度的控制,通过设定温度上下限可使整个系统工作于一个限定的温度范围内;再者还加载了报警装置,当被测温度超出设定温度范围时,声光报警装置工作,使它的功能更加完善,使用方便起来。本设计是采用了温度的测量、温度的显示、温度的控制和报警装置三部分来具体实现上述目的的。 关键字:热电阻,三运放差分电路,,A/D转换器,LED显示电路Abstract With the advent of the digital age, with the mercury or alcohol thermometer to measure temperature, not only to measure a long time, reading is not convenient, and a single function, can not meet people demands. Therefore proposed that the temperature measurement circuit uses platinum thermistor bridge temperature sensor to monitor the outside temperature changes, and three op amp differential amplifier circuit resistance change of the temperature sensor to convert the voltage signal changes enlarge, then use the A / D converter for analog signal to digital signal conversion, the relationship of the final output voltage and temperature values, calculated according to the part of the circuit schematic of the analog circuit and digital display current value equal to the temperature value, enabling the measurement of the temperature; and use single limit to the temperature control by setting the temperature of the upper and lower limits to bring the whole system in a limited temperature range; Furthermore, the alarm device is loaded, when the measured temperature exceeds the set temperature range, sound and light alarm device, so that its function is more complete and easy to use up. The design is the measurement of temperature, temperature display, temperature control and alarm device three parts to concrete realization of these purposes.

模电课程设计设计报告温度检测上下限报警电路

电子信息与工程专业模拟电子技术课程设计 设计报告 2011年7月

模拟电子技术 课程设计报告 课设名称温度检测上下限报警电路学生姓名学号 班级 同组姓名 指导教师叶晓燕 2011 年7月

模拟电子技术 课程设计报告 1.设计课题: 温度检测上下限报警电路 2.课程设计目的: (1)巩固所学的相关理论知识; (2)实践所掌握的电子制作技能; (3)会运用multisim工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则 (5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题 (6)学会撰写课程设计报告 (7)培养实事求是,严谨的工作态度和严肃的工作作风. (8)完成一个实际的电子产品;进一步提高分析问题、解决问题的能力 3.系统知识介绍 本设计的工作原理主要分为温度电压转换、信号调理及报警几部分。 本实验由于在仿真时,没有温敏电阻的实际模型,所以用滑动变阻器直接代替温敏电阻的功能进行试验。本设计采用放大电路,将代替温敏电阻的滑动变阻器传送过来的电压进行放大,以便于观察。双限报警部分是采用窗口比较器实现的,设定15℃和30℃对应的电压值为上下限阈值,输入电压与上下限值进行比较,若在这个范围之内,说明室温处于正常状态不警报,若温度低于15℃,则绿灯亮;若温度高于30℃,则红灯亮。 4.电路方案与系统、参数设计; (1)电路系统设计: §1温度电压信号采集 首先,通过代替温敏电阻的滑动变阻器,模仿温度变化温敏电阻阻值的变化,温度升高,温敏

温度监测单片机课程设计

电气工程及其自动化2012级单片机 课程设计报告 评语: 考勤(10)守纪 (10) 实习报告 (20) 实训过程 (20) 实训报告 (30分) 小组答辩 (10) 总成绩 (100) 专业:电气工程及其自动化 班级:电气1201 姓名:武金甲 学号:201209621 指导教师:张鑫 兰州交通大学自动化与电气工程学院 2014 年12月31日

1 设计题目 基于单片机的电厂锅炉过热蒸汽温度监测系统设计。 2.1 设计目的 本文是针对电厂锅炉过热蒸汽温度监测系统进行的分析和设计,而对锅炉过热蒸汽的良好监测是保证系统输出蒸汽温度稳定的前提,并且随着现代信息技术的飞速发展和传统工业改造的逐步实现,温度自动检测和显示系统在很多领域得到广泛应用,人们在温度检测的准确度、便捷、快速等方面有着越来越高的要求。而传统的温度传感器已经不能满足人们的需求,其渐渐被新型的温度传感器所代替。 本文设计并制作了一个电厂锅炉过热蒸汽温度监测系统,本设计采用了单片机AT89C51和温度传感器DS18B20组成了电厂锅炉过热蒸温度自动监测系统。在此设计中利用了AT89C51单片机作为主控制器件,DS18B20作为测温传感器通过LED数码管串口传送数据,实现温度显示。通过DS18B20直接读取被测温度值,进行数据转换,能够设置温度上限来设置报警温度。并且在到达报警温度后,系统会自动报警。 本文的设计是从主函数,延迟函数,测温函数等几个方面来分析说明的。该器件可直接向单片机传输数字信号,便于单片机处理及控制。另外,该温度计还能直接采用测温器件测量温度。从而简化数据传输与处理过程。此设计的优点主要体现在可操作性强,结构基础简单,拥有很大的扩展空间等。 2.2 设计要求 (1) 可利用温度传感器(DS18B20)测量过热蒸汽温度。 (2) 测量范围为+100℃~+125℃,误差为1℃。 (3) 用LED进行实际温度值显示。 (4) 能够根据需要方便设定上限报警温度。 (5) 当达到报警温度后,能够自动发出报警。 2.3 设计方法 主控制器件采用AT89C51进行控制,选用DS18B20温度传感器进行温度的采集,并且采用2个4位的LED数码管进行温度的显示,在超出预先设置的上限温度时,会自动发出报警。 3 设计方案及原理 根据系统的设计要求,当温度传感器DS18B20把所测得的温度发送到AT89C51单片机上,经AT89C51处理,将把温度在显示电路上显示,除了显示温度以外还可以设置一个报警温度,对所测温度进行监控,对温度进行上限设置。

课程设计报告温度监测及控制电路

模电课程设计 温度监测及控制电路 姓名:刘颖轩 班级:电子(2)班 学号:201241301215 指导老师:赖老师 实验原理 1、 实验电路如图21-1所示, 它是由负温度系数电阻特性的热敏电阻 (NTC 元件)R t 为一臂组成测温电桥, 其输出经测量放大器放大后由滞回比较器输出“加热”与“停止”信号,经三极管放大后控制加热器“加热”与“停止”。改变滞回比较器的比较电压U R 即改变控温的范围,而控温的精度则由滞回比较器的滞回宽度确定。 图21-1 温度监测及控制实验电路 (1)、测温电桥 由R 1、R 2、R 3、R W1及R t 组成测温电桥,其中R t 是温度传感器。其呈现出的阻值与温度成线性变化关系且具有负温度系数,而温度系数又与流过它的工作电流有关。为了稳定R t 的工作电流,达到稳定其温度系数的目的,设置了稳压管D 2。R W1可决定测温电桥的平衡。 (2)、差动放大电路

由A 1及外围电路组成的差动放大电路,将测温电桥输出电压△U 按比例放大。其输出电压 B 6 56 4W274A 4W2701)U R R R )(R R R R ()U R R R ( U +++++-= 当R 4=R 5,(R 7+R W2)=R 6时 )U (U R R R U A B 4 W2 701-+= R W3用于差动放大器调零。 可见差动放大电路的输出电压U 01仅取决于二个输入电压之差和外部电阻的比值。 (3)、滞回比较器 差动放大器的输出电压U 01输入由A 2组成的滞回比较器。 滞回比较器的单元电路如图21-2所示,设比较器输出高电平为U 0H ,输出低电平为U OL ,参考电压U R 加在反相输入端。 当输出为高电平U 0H 时,运放同相输入端电位 0H F 22 i F 2F H U R R R u R R R u +++= + 当u i 减小到使u +H =U R ,即 OH F 2R F F 2TL i U R R U R R R u u -+= = 此后,u i 稍有减小,输出就从高电 平跳变为低电平。 图21-2 同相滞回比较器 当输出为低电平U 0L 时,运放同相输入端电位 OL F 22i F 2F L U R R R u R R R u +++= + 当u i 增大到使u +L =U R ,即 OL F 2R F F 2TH i U R R U R R R U u -+= = 此后,u i 稍有增加,输出又从低电平 跳变为高电平。 图21-3 电压传输特性

温度传感器课程设计

... . . 华南师大学增城学院 课程论文 题目:智能温度测量装置 课程名称传感器与检测技术 考查学期2013/2014 学年第1学期 考查方式课程论文 姓名 学号 专业应用电子 成绩

指导教师 目录摘要3 一、创作背景:4 二、元件选择与说明5 2.1Pt100温度传感器5 2.2AT89C51单片机6 2.3运算放大器7 2.4A/D转换电路7 2.5LCD显示器8 三、系统总体设计介绍8 四、软件设计9 4.1系统软件设计说明9 4.2软件的有关算法10 4.3软件的流程图11 五、课程设计心得体会12 六、参考文献14

摘要 本文主要介绍了智能温度测量仪的设计,包括硬件和软件的设计。先对该测量仪进行概括性介绍,然后介绍该测量仪在硬件设计上的主要器件:“Pt100热电阻”、AT89C51单片机和LCD显示器以及描述测量仪的总体结构原理。在本设计中,是以铂电阻PT100作为温度传感器,采用恒流测温的方法,通过单片机进行控制,用放大器、A/D转换器进行温度信号的采集。 关键词:温度;Pt100热电阻;AT89C51单片机;LCD显示器。

一、创作背景: 随着科技的发展和“信息时代”的到来,作为获取信息的手段——传感器技术得到了显著的进步,其应用领域越来越广泛,对其要求越来越高,需求越来越迫切。因此,了解并掌握各传感器的基本结构、工作原理及特性是非常重要的。传感器主要用于测量和控制系统,它的性能好坏直接影响系统的性能。因此,不仅必须掌握各类传感器的结构、原理及其性能指标,还必须懂得传感器经过适当的接口电路调整才能满足信号的处理、显示和控制的要求,而只有通过对传感器应用实例的原理和智能传感器实例的分析了解,才能将传感器和信息通信与信息处理结合起来,适应传感器的生产、研制、开发和应用。另一方面,传感器的被测信来自于各个应用领域,每个领域都为了改革生产力、提高工效和时效,各自都在开发研制适合应用的传感器,于是种类繁多的新型传感器及传感器系统不断涌现。温度传感器是其中重要的一类传感器。其发展速度之快,以及其应用之广,并且还有很大潜力。为了提高对传感器的认识和了解,尤其是对温度传感器的深入研究以及其用法与用途,基于实用、广泛和典型的原则而设计了本系统。本文利用单片机结合温度传感器技术而开发设计了这一温度测量系统。文中将传感器理论与单片机实际应用有机结合,详细地讲述了利用热电阻作为温度传感器来测

(整理)多路温度检测及报警系统单片机课程设计

................. 辽东学院信息技术学院 微控制器原理与接口技术课程设计报告 设计题目:多路温度检测及报警系统 专业班级:电子信息工程、B1006 姓名:田洪雨 教师评语: 成绩评阅教师张俊芳日期

一、摘要 本课程设计是基于DS18B20在LCD1602液晶显示的多路温度检测及报警系统。本课题以AT89C51单片机系统为核心,能对多点温度进行实时巡检。DS18B20是一种可组网的高精度数字式温度传感器,由于其具有单总线的独特优点,可以使用户轻松的组建起传感器网络,并可使多点温度测量电路变得简单、可靠。本文结合实际使用经验,介绍了DS18B20温度传感器在单片机下的硬件连接及软件编程,并给出了软件流程图。 关键词:DS18B20、LCD1602、高低温报警 二、课程设计目的 通过《单片机原理与应用》课程设计,使学生掌握单片机及其扩展系统设计的方法和设计原则及相应的硬件调试的方法。进一步加深单片机及其扩展系统设计和应用的理解。 三、课程设计题目 多路温度检测及报警系统 四、课程设计内容及要求 1、设计内容: 1)有上电指示灯; 2)能正确手动复位; 3)有4位数码管显示,能按照分秒进制显示时间; 4)自定义的扩展功能。 2、设计要求: 1)独立设计原理图及相应的硬件电路。 2)针对选择的设计题目,设计系统软件。软件要做到:操作方便,实用性强,稳定可靠。 3)设计说明书格式规范,层次合理,重点突出。并附上设计原理图及相应的源程序。 五、系统硬件电路设计 系统主要由硬件和软件两大部分构成,当接收到系统发出的温度转换命令后, DS18B20开始进行温度转换操作并把转化后的结果放到16 位暂存寄存器中的温度寄存器内,然后与系统进行数据通信,系统将温度读出并驱动LED 数码管显示。如果温度值低于设定下限值或高于设定上限值,则自动启动报警装置。 由于DS18B20 单总线通信功能是分时完成的,它有严格的时隙概念,因此

相关主题
文本预览
相关文档 最新文档