当前位置:文档之家› eigrp自动汇总优缺点实验报告

eigrp自动汇总优缺点实验报告

eigrp自动汇总优缺点实验报告
eigrp自动汇总优缺点实验报告

路由自动汇总的优点

1,减少路由更新的数量和大小,节省带宽资源

2,减少路由表体积,提高查表速度

3,隐藏详细的网络规划,安全。

路由自动汇总的缺点

1.自动汇总为有类路由

2.只有主类路由,没有具体路由

下面通过两个实验来查看

1.使用默认开启的自动汇总功能

我们可以看到,实际情况是24位网络号的网段被汇总成了8位网络号的主类网络。

2.关闭自动汇总功能

我们可以看到,24位网络号的10.10.10.1作为一个二级路由,放置在主类路由的下一级。

3.使用默认开启路由汇总

这里我们看到24位网络号的172.16.1.0这个网段被汇总为16位的主类网络,而且,当我在当前路由器ping 172.16.2.1时,出现一半能通信一半不能的情况。这个时候,从R4发出去的信息一半发往左边的172.16.1.0/24的网段,一半发往172.16.2.0/24的网段。

4.关闭路由自动汇总

这个时候我们看到172.16.1.0和172.16.2.0两个网络都被具体的表示路由。所以后续的ping命令也能产生正常效果。

VHDL实验报告

《创新实验》实验报告 —基于VHDL的编程和硬件实现

一、实验目的 1.熟悉和掌握硬件描述语言VHDL的基本语法及编写; 2.掌握软件Xilinx ISE 10.1的使用; 3.熟悉SDZ-6电子技术实验箱的使用; 4.了解节拍脉冲发生器等基本电路的实现; 5.了解八位二进制计数器的功能与设计; 6.学习键盘和七段数码管显示的控制和设计。 二、实验内容 1.Xilinx ISE 10.1软件的使用; 2.节拍脉冲发生器等基本电路的实现; 3.八位二进制计数器的实现 4.键盘扫描及显示的实现 三、实验器材 1、PC机 2、SDZ-6电子技术实验箱 3、正负5V电源 4、I/O接口线 四、软件的使用 在安装Xilinx10.1软件时,需要一个ID号,其实这个ID号是可以重复使用的,几个同学在官网注册后就可以共享ID号了。 安装完成之后就可以使用这个软件编写相应的VHDL的程序。 1.新建工程 File—>New Project 弹出下面的对话框 输入工程名后单击Next。然后根据本实验的实验箱进行以下设置。

以后的步骤一般都是单击Next(有些资料上会介绍有些这些步骤的具体功能,但对于本实验不必用到),最后单击Finish,完成新建一个工程。在窗口的左边会出现刚刚新建的工程,如下: 2.新建一个VHDL的源文件。 在上图中,右击工程选择New Source ,弹出如下对话框。

在对画框的左边选择VHDL Module,输入文件的名字(改名字最好是你定义的实体的名字)。单击Next。出现下面的对话框。 该对话框主要是对外部端口的编辑。可以直接跳过,即单击Next,在源文件上编辑端口。然后在接下来的对话框中单击Finish。完成建立一个源文件。窗口右边就会出现刚才编辑的源文件。 3.编写和编译代码 将事先编好的代码复制到源文件里,然后保存文件。 选中左边的文件名,在窗体的左边出现如下编辑文档内容。

中北大学概率论实验报告四

实验四方差分析和回归分析 四、实验结果 1、用5种不同的施肥方案分别得到某种农作物的收获量(kg)如右: 在显著性水平= 对农作物的收获量是否有显著影响. >> X=[67 67 55 42 98 96 91 66 60 69 50 35 79 64 81 70 90 70 79 88]; group=[ones(1,4),2*ones(1,4),3*ones(1,4),4*ones(1,4),5*ones(1,4)]; [p,table,stats] = anova1(X,group,'on') p = table = 'Source' 'SS' 'df' 'MS' 'F' 'Prob>F' 'Groups' [+03] [ 4] [] [] [] 'Error' [+03] [15] [] [] [] 'Total' [+03] [19] [] [] []

stats = gnames: {5x1 cell} n: [4 4 4 4 4] source: 'anova1' means: [ ] df: 15 s: 因为p=<,所以施肥方案对农作物的收获量有显著影响。且由箱型图可知:第2种施肥方案对对农作物的收获量的影响最好,即产量最高。 2、某粮食加工产试验三种储藏方法对粮食含水率有无显著影响,现取一批粮食分成若干份,分别用三种不同的方法储藏,过段时间后测得的含水率如右表:

在显著性水平=α下,i x 检验储藏方法对含水率有无显著的影响. >> X=[ 10 ]; group=[ones(1,5),2*ones(1,5),3*ones(1,5)]; [p,table,stats] = anova1(X,group,'on') p = table = 'Source' 'SS' 'df' 'MS' 'F' 'Prob>F' 'Groups' [] [ 2] [] [] [] 'Error' [ ] [12] [] [] [] 'Total' [] [14] [] [] [] stats = gnames: {3x1 cell} n: [5 5 5] source: 'anova1'

实验5实验报告

学号:20164477 姓名:陈家凤 实验五SQL语言 一、目的与要求 1.掌握SQL语言的查询功能; 2.掌握SQL语言的数据操作功能; 3.掌握对象资源管理器建立查询、索引和视图的方法; 二、实验准备 1.了解SQL语言的查改增删四大操作的语法; 2.了解查询、索引和视图的概念; 3.了解各类常用函数的含义。 三、实验内容 (一)SQL查询功能 使用提供的studentdb数据库文件,先附加到目录树中,再完成下列题目,SQL命令请保存到脚本文件中。 1.基本查询 (1)查询所有姓王的学生的姓名、学号和性别 Select St_Name,St_Sex,St_ID From st_info Where St_Name like'王%' 图5-1 (2)查询全体学生的情况,查询结构按班级降序排列,同一班级再按学号升序, 并将结果存入新表new中 select*into new from st_info order by Cl_Name desc,st_ID asc

图5-2 (3)对S_C_info表中选修了“体育”课的学生的平均成绩生成汇总行和明细 行。(提示:用compute汇总计算) 因2014版本已不支持compute关键字,所以选择用其他方式。 Select c_no,score From s_c_info Where c_no=29000011 group by c_no,score 图5-3 2.嵌套查询 (1)查询其他班级中比“材料科学0601班”的学生年龄都大的学生姓名和年 龄 select st_name,born_date from st_info where cl_name!='材料科学0601班'and born_date<(select min(born_date) from st_info where cl_name='材料科学0601班')

VHDL实验报告03137

VHDL实验报告 60 庄炜旭实验三. 4位可逆计数器,4位可逆二进制代码-格雷码转换器设计 一.实验目的 学习时序电路的设计,仿真和硬件测试,进一步熟悉VHDL设计技术 1. 学习4位可逆计数器的设计 2. 学习4位可逆二进制代码-格雷码转换器设计 二.实验内容 设计4位可逆计数器,及4位可逆二进制代码-格雷码转换器,并仿真,下载。 [具体要求] 1.4位可逆计数器 a)使用CLOCK_50作为输入时钟,其频率为50MHz(对于频率大于50Hz的闪烁, 人眼会看到连续的光),因而,对其进行225的分频后,再用于时钟控制。(可 利用实验一) b)使用拨码开关SW17作为模式控制,置‘1’时为加法计数器,置‘0’时为减 法计数器,同时使用LEDR17显示SW17的值。 c)使用KEY3作为异步复位开关(按下时为0,不按为1),当为加法计数器时, 置“0000”,当为减法计数器时,置“1111”。 d)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 2.4位可逆二进制代码――格雷码转换器 a)使用拨码开关SW17作为模式控制,置‘1’时为二进制代码―>格雷码转换, 置‘0’时为格雷码―>二进制代码,同时使用LEDR17显示SW17的值。 b)使用拨码开关SW3, SW2, SW1, SW0作为输入的被转换数,SW3为高位,SW0 为低位。 c)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 三.管脚设定 SW[0]PIN_N25 SW[1]PIN_N26 SW[2]PIN_P25 SW[3] PIN_AE14 SW[17] PIN_V2 LEDR[0] PIN_AE23 LEDR[1] PIN_AF23 LEDR[2] PIN_AB21 LEDR[3] PIN_AC22 LEDR[17] PIN_AD12 KEY[3] PIN_W26

中北大学概率论实验报告四

实验四 方差分析和回归分析 四、实验结果 1、用5种不同的施肥方案分别得到某种农作物的收获量(kg )如右: 在显著性水平=α下,检验施肥方案对农作物的收获量是否有显著影 响. >> X=[67 67 55 42 98 96 91 66 60 69 50 35 79 64 81 70 90 70 79 88]; group=[ones(1,4),2*ones(1,4),3*ones(1,4),4*ones(1,4),5*ones(1,4)]; [p,table,stats] = anova1(X,group,'on') p = table = 'Source' 'SS' 'df' 'MS' 'F' 'Prob>F' 'Groups' [+03] [ 4] [] [] [] 'Error' [+03] [15] [] [] [] 'Total' [+03] [19] [] [] [] 5 9 778

stats = gnames: {5x1 cell} n: [4 4 4 4 4] source: 'anova1' means: [ ] df: 15 s: 因为p=<,所以施肥方案对农作物的收获量有显著影响。且由箱型图可知:第2种施肥方案对对农作物的收获量的影响最好,即产量最高。 2、某粮食加工产试验三种储藏方法对粮食含水率有无显著影响,现取一批粮食分成若干份,分别用三种不同的方法储藏,过段时间后测得的含水率如右表:

在显著性水平=α下,i x 检验储藏方法对含水率有无显著的影 响. >> X=[ 10 ]; group=[ones(1,5),2*ones(1,5),3*ones(1,5)]; [p,table,stats] = anova1(X,group,'on') p = table = 'Source' 'SS' 'df' 'MS' 'F' 'Prob>F' 'Groups' [] [ 2] [] [] [] 'Error' [ ] [12] [] [] [] 'Total' [] [14] [] [] [] stats = gnames: {3x1 cell} n: [5 5 5]

会计课程实验报告

会计课程实验报告 篇一:会计综合实验课实验报告 实验报告 课程名称会计学专业综合实验 实验项目名称模拟企业会计业务处理 班级与班级代码 实验室名称(或课室) 专业会计学 任课教师 学号: 姓名: 实验日期:20 年月 广东商学院教务处制 姓名实验报告成绩 评语: 指导教师(签名)年月日 说明:指导教师评分后,实验报告交院(系)办公室保存。 会计综合实验课实验报告 一、实验目的 该课程是实践课程,是会计学专业学生理论联系实践,培养其实际操作能力的重要教学环节,是会计理论学习的继

续。 课程要求学生在理解会计基本理论、基本方法的基础上,结合使用计算机,通过系统完整的练习,模拟会计主体处理会计业务的全过程,从而使学生体会真实的会计工作环境,系统地掌握会计核算的基本程序和基本方法,训练基本技能,为进一步从事财务分析等会计管理工作做准备。 为适应信息社会对会计人员、管理人员的更高要求,提高在校学生实操能力,激发学生积极性和求知欲,针对会计学专业本科学生的特点,开设本课程。 二、实验原理 严格遵照会计准则,并根据系统所提供的相关会计核算资料,按照会计学原理中会计核算的步骤进行账务处理,按照会计监督的要求进行账务核对和监督;按照复式记账法,根据记账凭证登记账簿;按照资产=负债+所有者权益的会计恒等式进行凭证登记,账簿登记和对账工作。 三、实验设备 计算机系统 四、结果预测 会计凭证、会计账簿、会计报表 五、实验步骤 (一)设置账户 (二)根据会计核算资料,填制各类原始凭证

(三)根据所填制原始凭证,填制记账凭证 (四)根据每张记账凭证,详细登记日记账、明细账以及科目汇总表 (五)根据所填制账簿,登记总账 (六)查账对账 (七)根据所填制总账,填制资产负债表、利润表等报表 (八)会计档案保存 六、实验结果 1、资产负债表 2、利润表 篇二:会计实验报告 《会计学》实验报告 实验室会计与财务实验室 所属课程名称实验类型实验日期 指导教师 班级学号 姓名成绩 【实验目的及要求】 《会计学》是财经类专业的必修课。课程主要阐明的是会计学的基本理论、基本方法。通过本课程的学习,使学生掌握会计学的基本理论、基本方法和基本技能,熟练掌握和

软件工程课程实验报告

网上招聘求职系统 一、系统分析概述 1、社会应用 当下社会,互联网生活已经逐渐成为人们不可或缺的生活方式,计算机应用也已经渗透到日常工作的许多方面。无论是其自身还是所发挥的作用,计算机都标志着一种高科技、高效率和高水平。在短短的几十年里,网络互连的崛起是之前人们所不能想象的。其中,通过网络来招聘、管理、求职、推销自己也是日渐盛行。网络招聘求职的快速发展,与网络招聘求职平台的建设和发展有着紧密的联系。 2、系统特点 如今社会主流同城网上招聘系统是具有交互功能的人才信息管理系统,它可以实现交互、人才管理、人才交流等功能。其开发主要包括后台数据库的建立和维护以及前台程序的开发两个方面。对于前者要求建立起数据一致性和完整性强、数据安全性好的库;而后者则要求应用程序功能完备,易于使用。 二、需求分析 1、功能需求 实现网上招聘信息化管理,前台管理系统包括用户注册,企业和个人可以通过注册成为会员;招聘信息、求职信息、在线留言;站内新闻、浏览网站消息;后台管理系统包括求职招聘、新闻资讯、企业会员、系统管理员、个人会员,系统管理员可以通过登录后管理网站内的信息等。 会员登陆后,作为求职者,可以浏览各大企业发布的信息,创建自己的简历,创建和修改个人信息,发送求职信等。作为企业,主要功能有发布招聘信息,查看求职申请,发送面试通知等。作为系统管理员,是整个网站的管理者,具有最高的权限,可以对网站各个模块进行管理。 企业会员用例图

设置人才库个人会员用例图 发布求职信息系统管理员用例图

管理系统用户 系统管理员模块时序图如下: : 管理者

: 管理者 : 管理者

VHDL实验报告论文

硬件描述语言期末实验报告 题目:硬件描述语言实现秒表功能 姓名xxxx 学号xxxxxxxxxx 年级专业xxxxxxxxxxxxx 指导教师xxxx 2012年6月20日

河北大学本科生VHDL硬件实验论文(设计) 硬件描述语言实现秒表功能 摘要 应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外整个秒表还需有一个启动信号,暂停信号和一个清零信号,以便秒表能随意停止及启动。 关键词:VHDL语言数字秒表时序仿真图

目录 一、实验目的 (1) 二、硬件要求 (1) 三、引脚说明 (1) 四、模块介绍 (2) 4.1.计数器(六、十进制) (2) 4.2.蜂鸣器 (2) 4.3.译码器 (3) 4.4.控制器 (4) 五、整体连接图 (5) 六、实验结果 (6) 七、实验总结 (6) 八、谢辞 (7) 九、附录 (7)

一实验目的 学习使用VHDL语言,以及EDA芯片的下载仿真。 二硬件要求 (1)主芯片EPF10K10LC84-4。 (2)蜂鸣器。 (3)8位八段扫描共阴极数码显示管。 (4)二个按键(暂停,开关)。 三引脚说明 3.1引脚设置 3.2信号说明 signal q:std_logic_vector(3 downto 0); --q是用于分频的信号。 signal state: std_logic_vector(3 downto 0); --state为状态信号,state为1时为暂停记录状态,为0时为正常显示计数状态。signal led: std_logic_vector(3 downto 0); --led为数码管扫描信号,通过对d1~d6的选择使数码管发光。

西安交大概率论上机实验报告 西安交通大学概率论实验报告

概率论与数理统计上机实验报告

一、实验内容 使用MATLAB 软件进行验证性实验,掌握用MATLAB 实现概率统计中的常见计算。本次实验包括了对二维随机变量,各种分布函数及其图像以及频率直方图的考察。 1、列出常见分布的概率密度及分布函数的命令,并操作。 2、掷硬币150次,其中正面出现的概率为0.5,这150次中正面出现的次数记为X , (1) 试计算45=X 的概率和45≤X 的概率; (2) 绘制分布函数图形和概率分布律图形。 3、用Matlab 软件生成服从二项分布的随机数,并验证泊松定理。 4、设2 2221),(y x e y x f +-=π是一个二维随机变量的联合概率密度函数,画出这 一函数的联合概率密度图像。 5、来自某个总体的样本观察值如下,计算样本的样本均值、样本方差、画出频率直方图。 A=[16 25 19 20 25 33 24 23 20 24 25 17 15 21 22 26 15 23 22 20 14 16 11 14 28 18 13 27 31 25 24 16 19 23 26 17 14 30 21 18 16 18 19 20 22 19 22 18 26 26 13 21 13 11 19 23 18 24 28 13 11 25 15 17 18 22 16 13 12 13 11 09 15 18 21 15 12 17 13 14 12 16 10 08 23 18 11 16 28 13 21 22 12 08 15 21 18 16 16 19 28 19 12 14 19 28 28 28 13 21 28 19 11 15 18 24 18 16 28 19 15 13 22 14 16 24 20 28 18 18 28 14 13 28 29 24 28 14 18 18 18 08 21 16 24 32 16 28 19 15 18 18 10 12 16 26 18 19 33 08 11 18 27 23 11 22 22 13 28 14 22 18 26 18 16 32 27 25 24 17 17 28 33 16 20 28 32 19 23 18 28 15 24 28 29 16 17 19 18] 6. 利用Matlab 软件模拟高尔顿板钉试验。 7. 自己选择一个与以上问题不同类型的概率有关的建模题目,并解决。 二、实验目的 1.要求能够利用MATLAB 进行统计量的运算。 2.要求能够使用常见分布函数及其概率密度的命令语句。 3.要求能够利用MATLAB 计算某随机变量的概率。 4.要求能够利用MATLAB 绘制频率直方分布图。

《概率论与数理统计》实验报告答案

《概率论与数理统计》实验报告 学生姓名李樟取 学生班级计算机122 学生学号201205070621 指导教师吴志松 学年学期2013-2014学年第1学期

实验报告一 成绩 日期 年 月 日 实验名称 单个正态总体参数的区间估计 实验性质 综合性 实验目的及要求 1.了解【活动表】的编制方法; 2.掌握【单个正态总体均值Z 估计活动表】的使用方法; 3.掌握【单个正态总体均值t 估计活动表】的使用方法; 4.掌握【单个正态总体方差卡方估计活动表】的使用方法; 5.掌握单个正态总体参数的区间估计方法. 实验原理 利用【Excel 】中提供的统计函数【NORMISINV 】和平方根函数【SQRT 】,编制【单个正态总体均值Z 估计活动表】,在【单个正态总体均值Z 估计活动表】中,只要分别引用或输入【置信水平】、【样本容量】、【样本均值】、【总体标准差】的具体值,就可以得到相应的统计分析结果。 1设总体2~(,)X N μσ,其中2σ已知,12,,,n X X X L 为来自X 的一个样本,12,,,n x x x L 为 样本的观测值 于是得到μ的置信水平为1-α 的置信区间为 利用【Excel 】中提供的统计函数【TINV 】和平方根函数【SQRT 】,编制【单个正态总体均值t 估计活动表】,在【单个正态总体均值t 估计活动表】中,只要分别引用或输入【置信水平】、【样本容量】、【样本均值】、【样本标准差】的具体值,就可以得到相应的统计分析结果。 2.设总体2~(,)X N μσ,其中2 σ未知,12,,,n X X X L 为来自X 的一个样本,12,,,n x x x L 为样本的观测值 整理得 /2/21X z X z n n P αασαμσ? ?=-??? ?-<<+/2||1/X U z P n ασμα????==-??????-

概率论上机实验报告资料

西安交通大学 概率论实验报告 计算机36班 南夷非 2130505135 2014年12月13日

一、实验目的 1.熟练掌握MATLAB 软件关于概率分布作图的基本操作,会进行常用的概率密度函数和分布函数的作图,绘出分布律图形。 2.利用MATLAB 软件解决一些概率论问题在实际生活中的应用。 二、实验内容 1.二项分布的泊松分布与正态分布的逼近 设 X ~ B(n ,p) ,其中np=2 1) 对n=101,…,105,讨论用泊松分布逼近二项分布的误差。 画处逼近的图形 2) 对n=101,…,105, 计算 )505(≤

纸的需求量X的分布律为 试确定报纸的最佳购进量n。(要求使用计算机模拟) 4.蒲丰投针实验 取一张白纸,在上面画出多条间距为d的平行直线,取一长度为r(r

《数据库原理》课程实验报告.

2013-2014学年第一学期《数据库原理》 课程实验报告 学号: 20112723 学生姓名:林苾湲 班级:软件工程2011-2 教师:陶宏才 辅导老师:张建华刘宝菊 2013年12月

实验一:表及约束的创建1.1 实验目的与内容 目的:创建数据表、添加和删除列、实现所创建表的完整性约束。 内容:11-2、11-26~33。 报告:以11-31作为实验一的报告。 1.2 实验代码及结果 1.2.1 实验代码 (1)CREATE TABLE orderdetail20112723 ( Order_no char(6) PRIMARY KEY CONSTRAINT Order_no_constraint20112723 CHECK(Order_no LIKE'[A-Z][A-Z][0-9][0-9]'), Cust_no char(6) NOT NULL, P_no char(6) NOT NULL, Order_total int NOT NULL, Order_date datetime NOT NULL, CONSTRAINT person_contr20112723 FOREIGN KEY (P_no) REFERENCES person20112723(P_no) ON DELETE CASCADE ON UPDATE CASCADE, CONSTRAINT customer_contr20112723 FOREIGN KEY (Cust_no) REFERENCES customer20112723(Cust_no) ON DELETE CASCADE ON UPDATE CASCADE, ) (2)ALTER TABLE salary20112723 ADD CONSTRAINT Pno_FK20112723 FOREIGN KEY(P_no) REFERENCES person20112723(P_no) 1.2.2 实验结果 (1)

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

操作系统课程实验报告(完整版)

. . 中南大学 《操作系统》实验报告 姓名:福星 专业班级:软件 1006班 学号: 完成日期: 2011.11.22

进程调度与存管理 一、实验目的 在采用多道程序设计的系统中,往往有若干个进程同时处于就绪状态。当就续进程个数大于处理器数时,就必须依照某种策略来决定哪些进程优先占用处理器。实验模拟实现处理机调度,以加深了解处理机调度的工作,并体会优先级和时间片轮转调度算法的具体实施方法。帮助了解在不同的存储管理方式下,应怎样实现主存空间的分配和回收。 二、实验要求 1、可随机输入若干进程,并按优先权排序; 2、从就绪队首选进程运行:优先权-1/要求运行时间-1 要求运行时间=0时,撤销该进程 3、重新排序,进行下轮调度。 4、可随时增加进程; 5、规定道数,设置后备队列和挂起状态。若存中进程少于规定道数,可自动从后备队 列调度一作业进入。被挂起进程入挂起队列,设置解挂功能用于将指定挂起进程解挂入就绪队列。 6、每次调度后,显示各进程状态。 7、自行假设主存空间大小,预设操作系统所占大小并构造未分分区表; 表目容:起址、长度、状态(未分/空表目) 8、结合以上实验,PCB增加为: {PID,要求运行时间,优先权,状态,所需主存大小,主存起始位置, PCB指针} 9、采用最先适应算法分配主存空间; 10、进程完成后,回收主存,并与相邻空闲分区合并。 11、采用图形界面;

三、实验容 选择一个调度算法,实现处理机调度。 1、设计一个按优先权调度算法实现处理机调度的程序; 2、设计按时间片轮转实现处理机调度的程序。 3、主存储器空间的分配和回收。在可变分区管理方式下,采用最先适应算法实现主存空间的分配和回收。 四、实验原理 该模拟系统采用java语言实现,要实现的功能有新建进程、进程调度、挂起进程、解挂进程、删除进程,道数和时间片大小可以由用户自己调整,有两种调度策略:按优先权调度和按时间片轮转调度。每个进程可能有5种状态:新建(new)、就绪(ready)、运行(running)、阻塞(waiting)、挂起(suspend)。每个状态都有一个队列用来存放处于该状态的进程,不同的调度策略采用不同的队列实现。当创建进程时,如果存中的进程数还没达到规定道数,则将新建进程插入就绪队列,如果存中进程数已经达到规定道数,则插到后备队列,后备队列中的进程的状态为new。CPU每次调度时都从就绪队列中取进程,在进程执行过程中如果下一个操作时IO操作,则将进程插入到waiting队列。在系统运行过程中可以执行进程挂起操作,但执行的挂起操作时系统自动暂停运行,在弹出窗口选择要挂起的进程后,将选中的进程从原来的队列中删除并插入到挂起队列。进行解挂操作时将选中的进程从挂起队列中删除并插入该进程原来所处的队列。 ?按优先级调度: 当选择按优先权调度时,所有队列都采用优先队列,优先队列采用一个有序链表实现,进程的优先权值越大代表优先级越高,优先队列中的进程按优先权从大到小排列,当新进程插入时根据该进程的优先权插入到队列中的合适位置,插入后保持队列按优先权从大到小排列,如果新进程与队列中某个进程优先权值相等,则该新进程插到那个进程后面,以遵循先来先服务的规则。当要从队列中取出进程时总是取队列中第一个进程,因为该进程的优先级最高。 ?按时间片轮转调度: 当选择按时间片轮转调度时,所有队列都采用先进先出队列,先进先出队列采用一个普通单向链表实现,当新进程插入时插入到队列的末尾,当要取进程时取队首进程,这样就实现了先进先出。

概率统计实验报告(三)剖析

线性回归实验报告(三) 实验目的:通过本次实验,了解matlab和spss在非参数检验中的应用,学会用matlab和spss做非参数假设检验,主要包括单样本和多样本非参数假设检验。 实验内容: 1.单样本假设检验; 2.多样本假设检验. 实验结果与分析: 1.单样本K-S儿童身高 操作步骤: ⑴分析-非参数检验-旧对话框-1-样本KS; ⑵将“周岁儿童身高”变换到检验变量列表,由于样本量太少,点击精确按钮,选择精确检验方法; ⑶回到K-S检验对话框,点击选项按钮,设置输出参数,勾选描述性和四分位数; ⑷输出检验结果。 从图形特征上看,儿童身高的分布非常接近正态分布,但是仍需要用K-S来检验

诊断。 结论:K-S检验统计量Z值为0.936,显著性为0.344,大于显著性水平0.05,所以不能拒绝原假设,认为周岁儿童的身高服从正态分布。 2.单样本游程——电缆 操作步骤: ⑴分析-非参数检验-旧对话框-游程; ⑵将“耐电压值”变换到检验变量列表; ⑶回到游程检验对话框,点击选项按钮,设置输出参数,勾选描述性和四分位数; ⑷输出检验结果。

结论:中位数渐进显著性为0.491,平均数和众数为1,大于显著性水平0.05,所以不能拒绝原假设,所以该组电缆耐电压值是随机的。 3.多独立样本——儿童身高 操作步骤: ⑴分析-非参数检验-旧对话框-K个独立样本检验; ⑵将“周岁儿童身高”变换到检验变量列表;将“城市标志”变换到分组变量,设置分组变量范围; ⑶回到多独立样本检验对话框,点击选项按钮,设置输出参数,勾选描述性和四分位数; ⑷输出检验结果。

结论:多个样本的K-W检验,即秩和检验目的是看各总体的位置参数是否一样,渐近显著性值为0.003,小于显著性水平0.05,所以拒绝原假设,因而四个城市儿童身高的分布存在显著性差异。 4.多样本配对——促销方式 操作步骤: ⑴分析-非参数检验-旧对话框-K个相关样本检验; ⑵将“促销形式1”、“促销形式2”、“促销形式3”变换到检验变量列表; ⑶回到多个关联样本检验对话框,点击选项按钮,设置输出参数,勾选描述性和四分位数; ⑷输出检验结果。

VHDL实验报告

专用集成电路实验报告 13050Z01 1305024237 刘德文

实验一开发平台软件安装与认知实验 实验内容 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计 电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 源程序: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity ls74138 is Port ( g1 : in std_logic; g2 : in std_logic; inp : in std_logic_vector(2 downto 0); y : out std_logic_vector(7 downto 0)); end ls74138; architecture Behavioral of ls74138 is begin process(g1,g2,inp) begin if((g1 and g2)='1') then case inp is when "000"=>y<="00000001"; when "001"=>y<="00000010";

概率统计实验报告

概率统计实验报告 班级16030 学号16030 姓名 2018 年1 月3 日

1、 问题概述和分析 (1) 实验内容说明: 题目12、(综合性实验)分析验证中心极限定理的基本结论: “大量独立同分布随机变量的和的分布近似服从正态分布”。 (2) 本门课程与实验的相关内容 大数定理及中心极限定理; 二项分布。 (3) 实验目的 分析验证中心极限定理的基本结论。 2、实验设计总体思路 2.1、引论 在很多实际问题中,我们会常遇到这样的随机变量,它是由大量的相互独立的随机 因素的综合影响而形成的,而其中每一个个别因素在总的影响中所起的作用是微小的,这种随机变量往往近似的服从正态分布。 2.2、 实验主题部分 2.2.1、实验设计思路 1、 理论分析 设随机变量X1,X2,......Xn ,......独立同分布,并且具有有限的数学期望和方差:E(Xi)=μ,D(Xi)=σ2(k=1,2....),则对任意x ,分布函数 满足 该定理说明,当n 很大时,随机变量 近似地服从标准正 态分布N(0,1)。因此,当n 很大时, 近似地服从正 态分布N(n μ,n σ2). 2、实现方法(写清具体实施步骤及其依据) (1) 产生服从二项分布),10(p b 的n 个随机数, 取2.0=p , 50=n , 计算n 个随 机数之和y 以及 ) 1(1010p np np y --; 依据:n 足够大,且该二项分布具有有限的数学期望和方差。 (2) 将(1)重复1000=m 组, 并用这m 组 ) 1(1010p np np y --的数据作频率直方图进 行观察. 依据:通过大量数据验证随机变量的分布,且符合极限中心定理。

vhdl实验报告

福建农林大学计算机与信息学院 信息工程类 实验报告 2013年11 月13 日

实验项目列表

福建农林大学计算机与信息学院信息工程类实验报告 系:电子信息工程系专业:电子信息工程年级: 2010级 姓名:学号:实验课程: VHDL数字系统设计 实验室号:__ 田C407 实验设备号: 07 实验时间: 11.12 指导教师签字:成绩: 实验一数控分频器的设计 1.实验目的和要求 学习数控分频器的设计、分析和测试方法。 2.实验原理 信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例1所示。 数控分频器的仿真波形如图1所示:输入不同的CLK频率和预置值D,给出如图1的时序波形。 100.0μs200.0μs300.0μs400.0μs 图1 当给出不同输入值D时,FOUT输出不同频率(CLK周期=50ns) 3.主要仪器设备(实验用的软硬件环境) 实验的硬件环境是: 微机一台 GW48 EDA实验开发系统一套 电源线一根 十芯JTAG口线一根 USB下载线一根 USB下载器一个 示波器 实验的软件环境是: Quartus II 9.0软件

4.操作方法与实验步骤 (1)创建工程,并命名位test。 (2)打开QuartusII,建立VHDL文件,并输入设计程序。保存为DVF. (3)选择目标器件。Acex1k—EP1K100QC208-3。 (4)启动编译。 (5)建立仿真波形图。 (6)仿真测试和波形分析。 (7)引脚锁定编译。 (8)编程下载。 (9)硬件测试 5.实验内容及实验数据记录 在实验系统上硬件验证例5-20的功能。可选实验电路模式1(第一章图4);键2/键1负责输入8位预置数D(PIO7-PIO0);CLK由clock0输入,频率选65536Hz 或更高(确保分频后落在音频范围);输出FOUT接扬声器(SPKER)。编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。 6.实验数据处理与分析 1)实验代码 【例1】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS PORT ( CLK : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); FOUT : OUT STD_LOGIC ); END; ARCHITECTURE one OF DVF IS SIGNAL FULL : STD_LOGIC; BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8 FULL <= '1'; --同时使溢出标志信号FULL输出为高电平 ELSE CNT8 := CNT8 + 1; --否则继续作加1计数 FULL <= '0'; --且输出溢出标志信号FULL为低电平 END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) VARIABLE CNT2 : STD_LOGIC; BEGIN IF FULL'EVENT AND FULL = '1' THEN CNT2 := NOT CNT2; --如果溢出标志信号FULL为高电平,D触发器输出取反

概率论与数理统计实验报告

概率论与数理统计实验报告 一、实验目的 1.学会用matlab求密度函数与分布函数 2.熟悉matlab中用于描述性统计的基本操作与命令 3.学会matlab进行参数估计与假设检验的基本命令与操作 二、实验步骤与结果 概率论部分: 实验名称:各种分布的密度函数与分布函数 实验内容: 1.选择三种常见随机变量的分布,计算它们的方差与期望<参数自己设 定)。 2.向空中抛硬币100次,落下为正面的概率为0.5,。记正面向上的次数 为x, (1)计算x=45和x<45的概率, (2)给出随机数x的概率累积分布图像和概率密度图像。 3.比较t(10>分布和标准正态分布的图像<要求写出程序并作图)。 程序: 1.计算三种随机变量分布的方差与期望 [m0,v0]=binostat(10,0.3> %二项分布,取n=10,p=0.3 [m1,v1]=poisstat(5> %泊松分布,取lambda=5 [m2,v2]=normstat(1,0.12> %正态分布,取u=1,sigma=0.12 计算结果: m0 =3 v0 =2.1000 m1 =5 v1 =5 m2 =1 v2 =0.0144 2.计算x=45和x<45的概率,并绘图 Px=binopdf(45,100,0.5> %x=45的概率 Fx=binocdf(45,100,0.5> %x<45的概率 x=1:100。 p1=binopdf(x,100,0.5>。 p2=binocdf(x,100,0.5>。 subplot(2,1,1>

plot(x,p1> title('概率密度图像'> subplot(2,1,2> plot(x,p2> title('概率累积分布图像'> 结果: Px =0.0485 Fx =0.1841 3.t(10>分布与标准正态分布的图像 subplot(2,1,1> ezplot('1/sqrt(2*pi>*exp(-1/2*x^2>',[-6,6]> title('标准正态分布概率密度曲线图'> subplot(2,1,2> ezplot('gamma((10+1>/2>/(sqrt(10*pi>*gamma(10/2>>*(1+x^2/10>^(-(10+1>/2>',[-6,6]>。b5E2RGbCAP title('t(10>分布概率密度曲线图'> 结果:

相关主题
文本预览
相关文档 最新文档