当前位置:文档之家› 硬件-原理图布线图-设计审核表

硬件-原理图布线图-设计审核表

硬件-原理图布线图-设计审核表
硬件-原理图布线图-设计审核表

硬件设计检查列表——Check List

产品名称开发代号

PCB P/N PCB 版本

PCBA P/N PCBA 版本

产品功能简述:

原理图设计部分(参考《电路原理图设计规范》)

1.电路图图幅选择是否合理。(单页,多页)是?否?免?

2.电路图标题栏、文件名是否规范。是?否?免?

3.元件大小、编号、封装是否有规律,是否符合要求。是?否?免?

4.元器件标注(名称,标称值,单位,型号,精度等)是否符合要求是?否?免?

5.元器件摆放和布局是否合理、清晰。是?否?免?

6.器件间连线是否正确,规范。是?否?免?

7.电气连线交叉点放置是否合理。是?否?免?

8.重要的电气节点是否明确标示。是?否?免?

9.重要网络号是否标准清晰。是?否?免?

10.是否对特殊部分添加注释。是?否?免?

11.零件选型是否符合要求(零件封装,可购买性,电压电流是否满足等)。是?否?免?

12.是否设计测试点,Jump点。是?否?免?

13.是否符合ESD保护设计要求。是?否?免?

14.是否符合EMI/EMC设计要求。是?否?免?

15.是否有过流、过压保护设计。是?否?免?

16.元器件选项是否能满足功能设计的功耗,电压,电流的要求。是?否?免?

17.时钟晶振电容是否匹配,晶振选项是否正确(有源、无源)。是?否?免?

18.I/O口开关量输入输出是否需要隔离。是?否?免?

19.上拉、下拉电阻设计是否合理。是?否?免?

20.是否进行过DRC检查。是?否?免?

21.是否存在方框图。是?否?免?

22.是否标注模块名称。是?否?免?

23.原理图层级结构是否合理、清晰。是?否?免?

24.标注部分字体、大小是否合理。是?否?免?

25.零件选型的可采购性。是?否?免?

26.零件选型的可生产性。是?否?免?Designed by:Checked by:Approved by:

PCB设计部分(参考《PCB Layout设计规范》)

1.PCB外形,尺寸、厚度是否满足要求。长宽厚(mm)是?否?免?

2.是否符合板层要求。板层:是?否?免?

3.PCB定位孔大小、位置是否满足要求。是?否?免?

4.零件整体布局是否符合机构要求。(二维、三维空间上是否干涉)。是?否?免?

5.去耦电容摆放位置是否符合要求。是?否?免?

6.线宽,线距,GAP是否满足要求。是?否?免?

7.走线是否存在锐角、直角。是?否?免?

8.高频信号走线是否符合标准。是?否?免?

9.是否存在阻抗匹配要求。阻抗匹配要求:是?否?免?

10.电源,GND是否符合要求。是?否?免?

11.器件PAD、焊盘大小是否符合要求。是?否?免?

12.过孔,通孔是否符合要求。是?否?免?

12.器件封装脚位和实物是否一致。是?否?免?

13.是否阅读和参照器件参考设计,设计手册等。是?否?免?

14.是否满足器件散热要求。是?否?免?

15.丝印字体大小,摆放方向是否符合要求。是?否?免?

16.器件和位置标识是否一一对应。是?否?免?

17.是否设置测试点,Jump点。是?否?免?

18.PCB板名称,料号,版本,日期信息是否标注。是?否?免?

19.IC 摆放方向是否清晰标注。是?否?免?

20.电阻电容规格是否统一(同一电路中尽量统一,特殊要求除外)是?否?免?

21.定位孔是否接地设计(根据具体要求)是?否?免?

22.模拟信号和数字信号是否隔离处理。是?否?免?

23.覆铜是否符合要求。是?否?免?

24.极性器件(LED,电容,二极体,电源接头,连接器等)是否标识清晰极性或第一脚位置。是?否?免?

25.是否核对元器件封装脚位、原理图、实物相对应。是?否?免?

26.丝印层是否放置公司logo,料号,PCB名称等信息。是?否?免?

27.是否符合EMI/EMC设计准则。是?否?免?

28.是否进行DRC检查。是?否?免?

29.是否有拼板要求。是?否?免?

30.是否填写《PCB生产要求规格书》是?否?免?Designed by:Checked by:Approved by:

电路板BOM 输出

1.BOM格式是否正确。是?否?免?

2.元器件名称是否正确。是?否?免?

3.元器件标号是否重复。是?否?免?

4.元器件规格描述是否正确。是?否?免?

5.元器件封装是否正确。是?否?免?

6.使用数量是否正确。是?否?免?

7.零件位置标号是否正确。是?否?免?

8.关键零件是否有替代料。是?否?免?

9.是否同采购确认材料均可购买。是?否?免?

10.BOM是否录入公司ERP系统。是?否?免?

11.BOM 版本:____________ 是?否?免?

Designed by:Checked by:Approved by:

样品PCBA调试部分

1.是否进行目测,检查是否存在缺件、短路、空焊现象。是?否?免?

2.检查关键零件用料是否真确是?否?免?

3.检查关键极性零件是否焊接正确。是?否?免?

4.无电状态下检查是否存在短路,阻抗不正常现象。是?否?免?

5.电源输入电压是否正常(电压值、上升时间、下降时间、波形等)。是?否?免?

6.电源转换IC输出电压是否正常。是?否?免?

7.驱动MOS和输出电压的波形是否满足要求。是?否?免?

8.关键点电压是否正常。是?否?免?

9.上电时序是否符合设计要求。是?否?免?

10.关键零件温度是否正常。是?否?免?

11.带载能力是否达到要求。是?否?免?

12.输出电流是否满足设计要求。是?否?免?

13.关键信号波形是否正常。是?否?免?

14.数据传输是否稳定。是?否?免?

15.程序下载、ROM/FLASH烧录是否正常。是?否?免?

16.加密验证功能是否有效。是?否?免?

17.LED灯指示是否达到设计要求。是?否?免?

18.功能调试是否达到要求。是?否?免?

19.是否进行长时间可靠性测试(>=48H)。是?否?免?

20.当前版本是否需要Rework。ECN:___________________ 是?否?免?

21.当前版本原理图是否需要变更。原理图版本:________ 是?否?免?

22.当前版本PCB布线图是否需要变更。PCB 版本:_______, ECN:_________ 是?否?免?

23.当前版本的BOM是否需要变更确认。BOM 版本:_______, ECN:_________ 是?否?免?

24.过流保护是否工作正常,是否可靠

25.过压保护是否工作正常,是否可靠

Designed by:Checked by:Approved by:

硬件原理图设计规范(修订) V10

上海XXXX电子电器有限公司 原理图设计及评审规范 V1.0 拟制: 审查: 核准:

一.原理图格式: 原理图设计格式基本要求 : 清晰,准确,规范,易读.具体要求如下: 1.1 各功能块布局要合理,整份原理图需布局均衡.避免有些地方很 挤,而有些地方又很松,同 PCB 设计同等道理 . 1.2 尽量将各功能部分模块化(如步进电机驱动、直流电机驱动,PG 电机驱动,开关电源等), 以便于同类机型资源共享 , 各功能模块界线需清晰 . 1.3 接插口(如电源输入,输出负载接口,采样接口等)尽量分布在图 纸的四周围 , 示意出实际接口外形及每一接脚的功能 . 1.4 可调元件(如电位器 ), 切换开关等对应的功能需标识清楚。1.5 每一部件(如 TUNER,IC 等)电源的去耦电阻 / 电容需置于对应 脚的就近处 . 1.6 滤波器件(如高 / 低频滤波电容 , 电感)需置于作用部位的就 近处 . 1.7 重要的控制或信号线需标明流向及用文字标明功能 . 1.8 CPU 为整机的控制中心,接口线最多 . 故 CPU 周边需留多一些 空间进行布线及相关标注 , 而不致于显得过分拥挤 . 1.9 CPU 的设置二极管需于旁边做一表格进行对应设置的说明 . 1.10 重要器件(如接插座 ,IC, TUNER 等)外框用粗体线(统一 0.5mm). 1.11 用于标识的文字类型需统一 , 文字高度可分为几种(重要器件

如接插座、IC、TUNER 等可用大些的字 , 其它可统一用小些的 ). 1.12 元件标号照公司要求按功能块进行标识 . 1.13 元件参数 / 数值务求准确标识 . 特别留意功率电阻一定需标 明功率值 , 高耐压的滤波电容需标明耐压值 . 1.14 每张原理图都需有公司的标准图框 , 并标明对应图纸的功能 , 文件名 , 制图人名/ 确认人名 , 日期 , 版本号 . 1.15 设计初始阶段工程师完成原理图设计并自我审查合格后 , 需 提交给项目主管进行再审核 , 直到合格后才能开始进行 PCB 设计 . 二.原理图的设计规划: 2.原理图设计前的方案确认的基本原则: 2.1 需符合产品执行的标准与法规 包括国标,行规,企业标准,与客户的合同,技术协议等. 2.2 详细理解设计需求,从需求中整理出电路功能模块和性能指标要 求。一般包括:精度/功能/功率/成本/强度/机构设计合理等考虑因素. 2.3产品的稳定性和可靠性设计原则:

硬件电路设计过程经验分享 (1)

献给那些刚开始或即将开始设计硬件电路的人。时光飞逝,离俺最初画第一块电路已有3年。刚刚开始接触电路板的时候,与你一样,俺充满了疑惑同时又带着些兴奋。在网上许多关于硬件电路的经验、知识让人目不暇接。像信号完整性,EMI,PS设计准会把你搞晕。别急,一切要慢慢来。 1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。

pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept&allegro是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有1)原理图设计。2)pcb设计。3)制作BOM 表。现在简要谈一下设计流程(步骤): 1)原理图库建立。要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚ic body,ic pins,input pin,output pin,analog pin,digital pin,power pin等区别。 2)有了充足的库之后,就可以在原理图上画图了,按照datasheet和系统设计的要

硬件工程师必用的20个电子线路图

这20个电子线路图,硬件工程师一定用得上! 电子技术、无线电维修及SMT电子制造工艺技术绝不是一门容易学好、短时间内就能够掌握的学科。这门学科所涉及的方方面面很多,各方面又相互联系,作为初学者,首先要在整体上了解、初步掌握它。 无论是无线电爱好者还是维修技术人员,你能够说出电路板上那些小元件叫做什么,又有什么作用吗?如果想成为元件(芯片)级高手的话,掌握一些相关的电子知识是必不可少的。 普及DIP与SMT电子基础知识,拓宽思路交流,知识的积累是基础的基础,基础和基本功扎实了才能奠定攀登高峰阶梯!这就是基本功。 电子技术的历史背景: 早在两千多年前,人们就发现了电现象和磁现象。我国早在战国时期(公元前475一211年)就发明了司南。而人类对电和磁的真正认识和广泛应用、迄今还只有一百多年历史。在第一次产业革命浪潮的推动下,许多科学家对电和磁现象进行了深入细致的研究,从而取得了重大进展。人们发现带电的物体同性相斥、异性相吸,与磁学现象有类似之处。 1785年,法国物理学家库仑在总结前人对电磁现象认识的基础上,提出了后人所称的“库仑定律”,使电学与磁学现象得到了统一。 1800年,意大利物理学家伏特研制出化学电池,用人工办法获得了连续电池,为后人对电和磁关系的研究创造了首要条件。

1822年,英国的法拉第在前人所做大量工作的基础上,提出了电磁感应定律,证明了“磁”能够产生“电”,这就为发电机和电动机的原理奠定了基础。 1837年美国画家莫尔斯在前人的基础上设计出比较实用的、用电码传送信息的电报机,之后,又在华盛顿与巴尔的摩城之间建立了世界上第一条电报线路。1876 年,美国的贝尔发明了电话,实现了人类最早的模拟通信。英国的麦克斯韦在总结前人工作基础上,提出了一套完整的“电磁理论”,表现为四个微分方程。这那就后人所称的“麦克斯韦方程组”.麦克斯韦得出结论:运动着的电荷能产生电磁辐射,形成逐渐向外传播的、看不见的电磁波。他虽然并未提出“无线电”这个名词,但他的电磁理论却已经告诉人们,“电”是能够“无线”传播的。 对模拟电路的掌握分为三个层次: 初级层次 熟练记住这二十个电路,清楚这二十个电路的作用。只要是电子爱好者,只要是学习自动化、电子等电控类专业的人士都应该且能够记住这二十个基本模拟电路。 中级层次 能分析这二十个电路中的关键元器件的作用,每个元器件出现故障时电路的功能受到什么影响,测量时参数的变化规律,掌握对故障元器件的处理方法;定性分析电路信号的流向,相位变化;定性分析信号波形的变化过程;定性了解电路输入输出阻抗的大小,信号与阻抗的关系。有了这些电路知识,您极有可能成长为电子产品和工业控制设备的出色的维修维护技师。

PCB原理图的反推过程全解

PCB原理图的反推过程全解 PCB抄板,业界也常被称为电路板抄板、电路板克隆、电路板复制、PCB克隆、PCB逆向设计或PCB反向研发。 即在已有电子产品实物和电路板实物的前提下,利用反向研发技术手段对电路板进行逆向解析,将原有产品的PCB文件、物料清单(BOM)文件、原理图文件等技术文件以及PCB 丝印生产文件进行1:1的还原。然后再利用这些技术文件和生产文件进行PCB制板、元器件焊接、飞针测试、电路板调试,完成原电路板样板的完整复制。 对于PCB抄板,很多人不了解,到底什么是PCB抄板,有些人甚至认为PCB抄板就是山寨。而山寨在大家的理解中,就是模仿的意思,但是PCB抄板绝对不是模仿,PCB抄板的目的是为了学习国外最新的电子电路设计技术,然后吸收优秀的设计方案,再用来开发设计更优秀的产品。 随着抄板行业的不断发展和深化,今天的PCB抄板概念已经得到更广范围的延伸,不再局限于简单的电路板的复制和克隆,还会涉及产品的二次开发与新产品的研发。 PCB抄板的过程通过对技术资料文件的提取和部分修改,可以实现各类型电子产品的快速更新升级与二次开发,根据抄板提取的文件图与原理图,专业设计人员还能根据客户的意愿对PCB进行优化设计与改板。也能够在此基础上为产品增加新的功能或者进行功能特征的重新设计,这样具备新功能的产品将以最快的速度和全新的姿态亮相,不仅拥有了自己的知识产权,也在市场中赢得了先机,为客户带来的是双重的效益。 无论是被用作在反向研究中分析线路板原理和产品工作特性,还是被重新用作在正向设计中的PCB设计基础和依据,PCB原理图都有着特殊的作用。 那么,根据文件图或者实物,怎样来进行PCB原理图的反推,反推过程是怎么样的?有哪些该注意细节呢? 反推步骤

硬件-原理图布线图-设计审核表

硬件设计检查列表——Check List 产品名称开发代号 PCB P/N PCB 版本 PCBA P/N PCBA 版本 产品功能简述: 原理图设计部分(参考《电路原理图设计规范》) 1.电路图图幅选择是否合理。(单页,多页)是?否?免? 2.电路图标题栏、文件名是否规范。是?否?免? 3.元件大小、编号、封装是否有规律,是否符合要求。是?否?免? 4.元器件标注(名称,标称值,单位,型号,精度等)是否符合要求是?否?免? 5.元器件摆放和布局是否合理、清晰。是?否?免? 6.器件间连线是否正确,规范。是?否?免? 7.电气连线交叉点放置是否合理。是?否?免? 8.重要的电气节点是否明确标示。是?否?免? 9.重要网络号是否标准清晰。是?否?免? 10.是否对特殊部分添加注释。是?否?免? 11.零件选型是否符合要求(零件封装,可购买性,电压电流是否满足等)。是?否?免? 12.是否设计测试点,Jump点。是?否?免? 13.是否符合ESD保护设计要求。是?否?免? 14.是否符合EMI/EMC设计要求。是?否?免? 15.是否有过流、过压保护设计。是?否?免? 16.元器件选项是否能满足功能设计的功耗,电压,电流的要求。是?否?免? 17.时钟晶振电容是否匹配,晶振选项是否正确(有源、无源)。是?否?免? 18.I/O口开关量输入输出是否需要隔离。是?否?免? 19.上拉、下拉电阻设计是否合理。是?否?免? 20.是否进行过DRC检查。是?否?免? 21.是否存在方框图。是?否?免? 22.是否标注模块名称。是?否?免? 23.原理图层级结构是否合理、清晰。是?否?免? 24.标注部分字体、大小是否合理。是?否?免? 25.零件选型的可采购性。是?否?免? 26.零件选型的可生产性。是?否?免?Designed by:Checked by:Approved by:

电路原理图设计步骤

电路原理图设计步骤 1.新建一张图纸,进行系统参数和图纸参数设置; 2.调用所需的元件库; 3.放置元件,设置元件属性; 4.电气连线; 5.放置文字注释; 6.电气规则检查; 7.产生网络表及元件清单; 8.图纸输出. 模块子电路图设计步骤 1.创建主图。新建一张图纸,改名,文件名后缀为“prj”。 2.绘制主图。图中以子图符号表示子图内容,设置子图符号属性。 3.在主图上从子图符号生成子图图纸。每个子图符号对应一张子图图纸。 4.绘制子图。 5.子图也可以包含下一级子图。各级子图的文件名后缀均是“sch”。 6.设置各张图纸的图号。 元件符号设计步骤 1.新建一个元件库,改名,设置参数; 2.新建一个库元件,改名; 3.绘制元件外形轮廓; 4.放置管脚,编辑管脚属性; 5.添加同元件的其他部件; 6.也可以复制其他元件的符号,经编辑修改形成新的元件; 7.设置元件属性; 8.元件规则检查; 9.产生元件报告及库报告; 元件封装设计步骤 1.新建一个元件封装库,改名; 2.设置库编辑器的参数; 3.新建一个库元件,改名; 4.第一种方法,对相似元件的封装,可利用现有的元件封装,经修改编辑形成; 5.第二种方法,对形状规则的元件封装,可利用元件封装设计向导自动形成; 6.第三种方法,手工设计元件封装: ①根据实物测量或厂家资料确定外形尺寸; ②在丝印层绘制元件的外形轮廓; ③在导电层放置焊盘; ④指定元件封装的参考点 PCB布局原则 1.元件放置在PCB的元件面,尽量不放在焊接面; 2.元件分布均匀,间隔一致,排列整齐,不允许重叠,便于装拆; 3.属同一电路功能块的元件尽量放在一起;

硬件电路板设计规范标准

0目录 0目录 (2) 1概述 (4) 1.1适用范围 (4) 1.2参考标准或资料 (4) 1.3目的 (5) 2PCB设计任务的受理和计划 (5) 2.1PCB设计任务的受理 (5) 2.2理解设计要求并制定设计计划 (6) 3规范内容 (6) 3.1基本术语定义 (6) 3.2PCB板材要求: (7) 3.3元件库制作要求 (8) 3.3.1原理图元件库管理规范: (8) 3.3.2PCB封装库管理规范 (9) 3.4原理图绘制规范 (11) 3.5PCB设计前的准备 (12) 3.5.1创建网络表 (12) 3.5.2创建PCB板 (13) 3.6布局规范 (13) 3.6.1布局操作的基本原则 (13) 3.6.2热设计要求 (14) 3.6.3基本布局具体要求 (16) 3.7布线要求 (24) 3.7.1布线基本要求 (27) 3.7.2安规要求 (30)

3.8丝印要求 (32) 3.9可测试性要求 (33) 3.10PCB成板要求 (34) 3.10.1成板尺寸、外形要求 (34) 3.10.2固定孔、安装孔、过孔要求 (36) 4PCB存档文件 (37)

1概述 1.1 适用范围 本《规范》适用于设计的所有印制电路板(简称PCB); 规范之前的相关标准、规范的内容如与本规范的规定相抵触的,以本规范为准。 1.2 参考标准或资料 下列标准包含的条文,通过在本标准中引用而构成本标准的条文。在标准出版时,所示版本均为有效。所有标准都会被修订,使用本标准的各方应探讨,使用下列标准最新版本的可能性: GB/4588.3—88 《印制电路板设计和使用》 Q/DKBA-Y001-1999《印制电路板CAD工艺设计规范》 《PCB工艺设计规范》 IEC60194 <<印制板设计、制造与组装术语与定义>> (Printed Circuit Board design manufacture and assembly-terms and definitions) IPC—A—600F <<印制板的验收条件>> (Acceptably of printed board) IEC60950 安规标准 GB/T 4677.16-1988 印制板一般检验方法

硬件电路图

1.2 各模块电路说明
1.2.1 数码管显示模块
图 1.1 数码管显示模块电路
数码管的段信号由 FPGA 直接驱动,JP9,JP10 代表两个共阴极数码管的 A、B、C、D、E、F、Dp 段;
1.2.2 A/D 转换模块

图 1.2 A/D 转换模块电路
AD9288 是采用了并行双通道独立 8 位、 高速采样 (100MHZ) 的 A/D 器件, 模拟信号分别通过 INPUT_A、 INPUT_B 输入,时钟输入采用 FPGA 控制的 10-100MHZ 时钟信号,数据采用 8 位并行输出。FPGA 控制采 样率,此实验可以很快的验证采样定律。注意在使用该模块的过程中应该将入信号应该为调节到 0 到 1V 的 电压范围内的高频交流信号。
1.2.3 D/A 转换模块
图 1.3 D/A 转换模块电路
AD9767 是美国 ADI 公司出品的高速数模转换电路, 在单芯片上集成了 2 个独立的 14 位高速 D/A 转换 器。
1.2.4 以太网模块
图 1.4 以太网模块电路
该模块为百兆以太网设计模块,FPGA 通过排线连接对以太网数据进行读写和控制。

1.2.5 VGA 接口模块
图 1.5 VGA 模块电路
该模块采用 ADV7123 实现对 VGA 时序控制,完成画面显示。
1.2.6 PS/2 接口模块
图 1.6 PS/2 模块电路
该模块设计有两个 PS/2 接口,都可以接 PS/2 设备,其时钟线和数据线通过排线与 FPGA 相连。

硬件设计规范

XXX电子有限公司 XXX电子硬件设计规范 V1.2

xxx 电子有限公司发布 1.目的: 为规范硬件设计、保证产品质量和性能、减少各类差错,特制定本规范。 2.适用范围 XXX公司自行研发、设计的各类产品中硬件设计的全过程,各部门涉及到有关内容者均以此规范为依据。 3.文档命名规定 硬件设计中涉及各种文档及图纸,必须严格按规则命名管理。由于XXX公司早期采用的 6.01设计软件不允许文件名超过8个字符,故文件名一直规定为8.3模式。为保持与以前文件 的兼容,本规范仍保留这一限制,但允许必要情况下在文件名后面附加说明性文字。 3.1.原理图 3.1.1.命名规则 原理图文件名形如 xxxxYmna.sch 其中xxxx:为产品型号,由4位阿拉伯数字组成,型号不足4位的前面加0。 Y:为电路板类型,由1位字母组成,目前已定义的各类板的字母见附录1。 m:为文件方案更改序号,表示至少有一个电路模块不同的电路方案序号,不同方案的电路可同时在生产过程中流通,没有互相取代关系。 n:一般为0,有特殊更改时以此数字表示。 a:为文件修改序号,可为0-z,序号大的文件取代序号小的文件。 例如:1801采用SSM339主控芯片的主板原理图最初名为1801M001.SCH,进行电路设计改进后为1801M002.SCH、1801M003.SCH等;改为采用AK1020主控芯片后名为1801M101.SCH,在此基础上的改进版叫1801M102.SCH、1801M103.SCH等。 3.1.2.标题框 原理图标题框中包含如下各项,每一项都必须认真填写: 型号(MODEL):产品型号,如1801(没有中间的短横线); 板名(BOARD):电路板名称,如MAIN BOARD、FRONT BOARD等; 板号(Board No.):该电路板的编号,如1801100-1、1801110-1等,纯数字表示,见“3.2.2.”; 页名(SHEET):本页面的名称,如CPU、AUDIO/POWER、NAND/SD等; 页号(No.):原理图页数及序号,如1 OF 2、2 OF 2等; 版本(REV.):该文件修改版本,如0.1、0.11、1.0等,正式发行的第一版为V1.0; 日期(DATE):出图日期,如2009.10.16等,一定要填出图当天日期; 设计(DESIGN):设计人,由设计人编辑入标题框; 审核(CHECK):审核人,需手工签字; 批准(APPROVE):批准人,需手工签字。 3.2.PCB图 3.2.1.命名规则 PCB文件除后缀为.PCB外,文件名主体及各字段的意义与对应的原理图文件完全相同。 注意:PCB图更改后,即便原理图没有变动,也必须更改原理图文件名,使二者始终保持这种对应关系。

经验分享:硬件电路怎么设计

经验分享:硬件电路怎么设 计

1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb ,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信

号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept & allegro 是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有: 1)原理图设计。 2)pcb设计。 3)制作BOM表。 现在简要谈一下设计流程(步骤): 1)原理图库建立。 要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚 ic body,ic pins,input pin,output pin, analog pin, digital

硬件电路原理图设计审核思路和方法

硬件电路原理图设计审核思路和方法 1、详细理解设计需求,从需求中整理出电路功能模块和性能指标要 求; 2、根据功能和性能需求制定总体设计方案,对CPU进行选型,CPU 选型有以下几点要求: a)性价比高; b)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; c)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功 参考设计,一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,比如440EP就有yosemite开发板和 bamboo开发板,我们参考得是yosemite开发板,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU 都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计;

4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守 以下原则: a)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷偏芯片,减少风险; b)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; c)采购方便原则:尽量选择容易买到,供货周期短的元器件; d)持续发展原则:尽量选择在可预见的时间内不会停产的元器件;e)可替代原则:尽量选择pin to pin兼容种类比较多的元器件;f)向上兼容原则:尽量选择以前老产品用过的元器件; g)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每 个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;这是整个原理图设计过程中最关键的部分,我们必须做到以下几点: a)对于每个功能模块要尽量找到更多的成功参考设计,越难的应该越多,成功参考设计是“前人”的经验和财富,我们理当借鉴吸收,站在“前人”的肩膀上,也就提高了自己的起点;

ConceptHDL原理图设计

Allegro Design Entry HDL原理图输入方式 孙海峰Design Entry HDL是Cadence公司原有的原理图设计输入系统,提供了一个全面、高效、灵活的原理图设计环境,具有强大的操作编辑功能。设计者在HDL 环境中能够完成整个原理图设计流程,可以进行层次原理图和平面原理图输入、原理图检查、生成料单、生成网表等工作。HDL还能与Allegro工具很好的集成在一个工程中,可很方便的实现原理图到PCB的导入,以及PCB改动反标到原理图等交互式操作。 接下来,按照原理图设计输入流程,我来详细阐述Design Entry HDL原理图的输入方式。 一、进入Design Entry HDL用户界面 进入HDL原理图输入界面的步骤如下。 1、执行“开始/程序/Cadence 16.3/Design Entry HDL”命令,将弹出产品选择对话框 2、进入产品界面,弹出Open Project对话框 其中Open Recent用以打开最后运行的项目; Open Open an Existing Project用以打开一个已有的项目; Create a New Project用以新建一个项目。 3、点击Create a New Project新建项目,则进入新建项目向导,填入新建项目名称和保 存位置,如下图。

4、点击下一步,进入Project Libraries对话框,在可用元件库中为项目添加元件库 5、点击下一步,进入Design Name对话框,Library中选择需要的元件库,Design中可 以填写新建项目名称,也可以选择已有元件,对其进行修改。 6、点击下一步,进入Summary对话框,显示前面步骤所设置的所有内容。

硬件电路板设计规范

硬件电路板设计规范(总36 页) -CAL-FENGHAI.-(YICAI)-Company One1 -CAL-本页仅作为文档封面,使用请直接删除

0目录 0目录............................................... 错误!未定义书签。

1概述............................................... 错误!未定义书签。 适用范围............................................ 错误!未定义书签。 参考标准或资料 ...................................... 错误!未定义书签。 目的................................................ 错误!未定义书签。2PCB设计任务的受理和计划............................ 错误!未定义书签。 PCB设计任务的受理................................... 错误!未定义书签。 理解设计要求并制定设计计划 .......................... 错误!未定义书签。3规范内容........................................... 错误!未定义书签。 基本术语定义........................................ 错误!未定义书签。 PCB板材要求: ....................................... 错误!未定义书签。 元件库制作要求 ...................................... 错误!未定义书签。 原理图元件库管理规范:......................... 错误!未定义书签。 PCB封装库管理规范............................. 错误!未定义书签。 原理图绘制规范 ...................................... 错误!未定义书签。 PCB设计前的准备..................................... 错误!未定义书签。 创建网络表..................................... 错误!未定义书签。 创建PCB板..................................... 错误!未定义书签。 布局规范............................................ 错误!未定义书签。 布局操作的基本原则............................. 错误!未定义书签。 热设计要求..................................... 错误!未定义书签。 基本布局具体要求............................... 错误!未定义书签。 布线要求............................................ 错误!未定义书签。 布线基本要求................................... 错误!未定义书签。 安规要求....................................... 错误!未定义书签。 丝印要求............................................ 错误!未定义书签。 可测试性要求........................................ 错误!未定义书签。 PCB成板要求......................................... 错误!未定义书签。

如何进行PCB原理图的反推 反推过程是怎么的

如何进行PCB原理图的反推反推过程是怎么的 PCB抄板,业界也常被称为电路板抄板、电路板克隆、电路板复制、PCB克隆、PCB逆向设计或PCB反向研发。 即在已经有电子产品实物和电路板实物的前提下,利用反向研发技术手段对电路板进行逆向解析,将原有产品的PCB文件、物料清单(BOM)文件、原理图文件等技术文件以及PCB丝印生产文件进行1:1的还原。 然后再利用这些技术文件和生产文件进行PCB制板、元器件焊接、飞针测试、电路板调试,完成原电路板样板的完整复制。 对于PCB抄板,很多人不了解,到底什么是PCB抄板,有些人甚至认为PCB抄板就是山寨。 山寨在大家的理解中,就是模仿的意思,但是PCB抄板绝对不是模仿,PCB抄板的目的是为了学习国外最新的电子电路设计技术,然后吸收优秀的设计方案,再用来开发设计更优秀的产品。 随着抄板行业的不断发展和深化,今天的PCB抄板概念已经得到更广范围的延伸,不再局限于简单的电路板的复制和克隆,还会涉及产品的二次开发与新产品的研发。 比如,通过对既有产品技术文件的分析、设计思路、结构特征、工艺技术等的理解和探讨,可以为新产品的研发设计提供可行性分析和竞争性参考,协助研发设计单位及时跟进最新技术发展趋势、及时调整改进产品设计方案,研发最具有市场竞争性的新产品。 PCB抄板的过程通过对技术资料文件的提取和部分修改,可以实现各类型电子产品的快速更新升级与二次开发,根据抄板提取的文件图与原理图,专业设计人员还能根据客户的意愿对PCB进行优化设计与改板。 也能够在此基础上为产品增加新的功能或者进行功能特征的重新设计,这样具备新功能的产品将以最快的速度和全新的姿态亮相,不仅拥有了自己的知识产权,也在市场中赢得了先机,为客户带来的是双重的效益。

深度解析PCB原理图的反推全过程

深度解析PCB原理图的反推全过程 PCB抄板,业界也常被称为电路板抄板、电路板克隆、电路板复制、PCB克隆、PCB逆向设计或PCB反向研发。 即在已有电子产品实物和电路板实物的前提下,利用反向研发技术手段对电路板进行逆向解析,将原有产品的PCB文件、物料清单(BOM)文件、原理图文件等技术文件以及PCB 丝印生产文件进行1:1的还原。然后再利用这些技术文件和生产文件进行PCB制板、元器件焊接、飞针测试、电路板调试,完成原电路板样板的完整复制。 对于PCB抄板,很多人不了解,到底什么是PCB抄板,有些人甚至认为PCB抄板就是山寨。而山寨在大家的理解中,就是模仿的意思,但是PCB抄板绝对不是模仿,PCB抄板的目的是为了学习国外最新的电子电路设计技术,然后吸收优秀的设计方案,再用来开发设计更优秀的产品。 随着抄板行业的不断发展和深化,今天的PCB抄板概念已经得到更广范围的延伸,不再局限于简单的电路板的复制和克隆,还会涉及产品的二次开发与新产品的研发。 比如,通过对既有产品技术文件的分析、设计思路、结构特征、工艺技术等的理解和探讨,可以为新产品的研发设计提供可行性分析和竞争性参考,协助研发设计单位及时跟进最新技术发展趋势、及时调整改进产品设计方案,研发最具有市场竞争性的新产品。 PCB抄板的过程通过对技术资料文件的提取和部分修改,可以实现各类型电子产品的快速更新升级与二次开发,根据抄板提取的文件图与原理图,专业设计人员还能根据客户的意愿对PCB进行优化设计与改板。也能够在此基础上为产品增加新的功能或者进行功能特征的重新设计,这样具备新功能的产品将以最快的速度和全新的姿态亮相,不仅拥有了自己的知识产权,也在市场中赢得了先机,为客户带来的是双重的效益。 无论是被用作在反向研究中分析线路板原理和产品工作特性,还是被重新用作在正向设计中的PCB设计基础和依据,PCB原理图都有着特殊的作用。 那么,根据文件图或者实物,怎样来进行PCB原理图的反推,反推过程是怎么样的?有

硬件电子琴电路设计

江西理工大学应用科学学院

目录 一、设计任务与要求 (1) 二、总体框图 (2) 三、选择器件 (5) 四、功能模块 (6) 1.Songer模块 (6) 1.1NoteTabs模块 (6) 1.2ToneTaba模块 (11) 1.3Speakera模块 (13) 2.div模块 (16) 3.七段译码器模块 (18) 五、总体设计电路图 (21) 1.顶层设计的电路原理图 (21) 2.顶层设计的仿真结果 (23) 3.电路的管脚图 (23) 六、结束语 (24) 七、心得体会 (25)

硬件电子琴电路设计 一、设计任务与要求 使用FPGA设计一模拟电子琴键,实现电子琴按键的DO,Re,Mi,Fa,Sol,La,Si等中音以及相应的高音。 二、总体框图 系统设计方案: 方案一: 采用单个的逻辑器件组合实现。这样虽然比较直观,逻辑器件分工鲜明,思路也比清晰,一目了然。但是由于元器件种类、个数繁多,而过于复杂的硬件电路也容易引起系统的精度不高、体积过大等不利因素。例如八个不同的音符是由八个不同的频率来控制发出的,而采用方案一就需要运用不同的分频器来对信号进行不同程度的分频。所用仪器之多显而易见。 方案二: 采用VHDL语言编程来实现电子琴的各项功能。系统主要由电子琴发声模块、选择控制模块和储存器模块组成。和 方案一相比较,方案二就显得比较笼统,只是把整个系统分 为了若干个模块,而不牵涉到具体的硬件电路。但是我们必 须看到用超高速硬件描述语言VHDL的优势,它不仅具有良 好的电路行为描述和系统描述的能力而且通俗易懂。经过对

以上两种方案的分析、比较和总结,我们选用方案二来进行八音符电子琴的设计。 (2).ToneTaba模块:是乐曲简谱码对应的分频预置数查找表电路,其中设置了乐曲的全部音符所对应的分频置数,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs 的CLK的输入频率决定,这些值由对应于ToneTaba的4

原理图设计注意事项2010

康拓工控原理图设计注意事项 公司现在主要使用的画原理图的软件是Allegro、Protel 99 SE,PCB设计中的封装设计、布局、出图、光绘转换等均在这些软件中进行设计,而Protel99SE布线则使用Cadence公司的SPECCTRA布线器。 对于原理图设计有如下几点注意事项: 1、图纸大小选择A4或A3,还放不下使用模块化设计,每个模块图的大小选择A4或A3。还有困难可选择C号图,禁止使用A 2、A1、A0或D号、E号图。 2、不要从其它软件拷贝电路图到你的原理图中,包括TANGO软件;拷贝他人的Allegro、 Protel99SE软件的电路图时,要注意他人的元器件PCB封装是否适合你的模板的需求。 3、禁止用线勾画出你要用的具有电气化的元器件,在自己建元器件库时,Allegro软件要注意的是: ①必须正确设置元件管脚的类型; ②电源和地引脚允许使用相同的名称,但必须选择为电源类型; ③非电源类型管脚不能使用相同的名称,如多个NC脚需命名为NC1、 NC2……; ④元件管脚名称中不要包括“’”、“?”等特殊符号; ⑤在创建类似PCI金手指(引脚分布于板卡的正反两面)的元件库 时,要将这类元件封装拆分成两个元件,然后在原理图中标明哪个放置于正面哪个放置于反面; ⑥尽量自己创建元件库,如果从网上下载或者从库里拷贝的原理图

封装要修改其属性; ⑦在创建较大的元器件时,建议使用表单方式创建,可以在芯片数 据手册(.pdf)中选中PINOUT表格,在excel中打开,并编辑好后,再粘贴到Capture的元件表单中; ⑧创建元件库时,应为元件添加相应的自定义属性。 必须定义的属性: ktPACKAGE:允许设计者在原理图的元件属性中描述该元件的PCB 封装信息,此信息只作为可阅读的封装信息,不需要与实际的PCB 库名称完全一致,建议填写通用的封装名称(如0805),或器件手册提供的封装名称(如PQFP176)。 ktPRESENT:允许设计者在原理图的元件属性中描述该元件是否需要电装,并可以自动生成在bom表中。 可以选择定义的属性: ktVOLTAGE:额定电压(电容、电阻、晶振、排阻) ktTOLERANCE:精度(电容、电阻、排阻) ktPOWER RATING:额定功率(电阻、电容、二极管、排阻) ktCURRENT RATING:额定电流(电感、磁珠) ktTOLMATCH:精度匹配(温度匹配电阻排) ktATC:绝对温度系数(温度匹配电阻排) ktTCR:温度系数跟踪(温度匹配电阻排) ktRANK:等级(光耦) ktVBR:击穿电压(二极管、稳压管) ktVC:钳位电压(稳压管) ktVRWM:反向峰值电压(稳压管) ktCOLOR:颜色(发光二极管) ktRDC:直流电阻(电感、磁珠) ktIMPEDANCE:阻抗(磁珠@100M) ktDEFAULT:缺省状态(跳线) Protel99SE要特别注意管脚的方向,带有小圆点的一方冲外,

硬件电路原理图设计经验

硬件电路原理图设计经验(研发心得) 设计电路常用的EDA(Electronic Design Automatic,电路设计自动化)软件包括电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,现主要的原理图和PCB图设计软件有Altium(原protel),OrCAD,PADS,PowerPCB等软件。不管使用那个软件。只要能画出好的电路就行了。一般掌握一两个软件就够用了。 做好电路板第一步是前期准备。包括元件库和原理图。要设计好原理图。需要了解设计原理图要实现那些功能及目的。要详细了解电路使用的所有元件特性,在电路中所起的作用。 根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: a)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷偏芯片,减少风险; b)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; c)采购方便原则:尽量选择容易买到,供货周期短的元器件; d)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; e)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; f)向上兼容原则:尽量选择以前老产品用过的元器件; g)资源节约原则:尽量用上元器件的全部功能和管脚; 绘制原理图时,一般规则和要如下: a) 按统一的要求选择图纸幅面、图框格式、电路图中的图形符号、文字符号。 b)应根据该产品的电工作原理,各元器件自右到左,自上而下的排成一列或数列。 c)图面安排时,电源部分一般安排在左下方,输入端在右方,输出在左方。 d) 图中可动元件(如继电器)的工作状态,原则上处于开断,不加电的工作位置。 e) 将所有芯片的电源和地引脚全部利用。 信号完整性及电磁兼容性考虑 a) 对输入输出的信号要加相应的滤波/吸收器件;必要时加硅瞬变电压吸收二极管或压敏电阻SVC b) 在高频信号输出端串电阻。 c) 高频区的退耦电容要选低ESR的电解电容或钽电容 d) 退耦电容容值确定时在满足纹波要求的条件下选择更小容值的电容,以提高其谐振频率点 e) 各芯片的电源都要加退耦电容,同一芯片中各模块的电源要分别加退耦电容;如为高频则须在靠电源端加磁珠/电感。 硬件原理图设计还应该遵守一些基本原则,这些基本原则要贯彻到整个设计过程,虽然成功的参考设计中也体现了这些原则,但因为我们可能是“拼”出来的原理图,所以我们还是要随时根据这些原则来设计审查我们的原理图,这些原则包括: 一数字电源和模拟电源分割; a) 数字地和模拟地分割,单点接地,数字地可以直接接机壳地(大地),机壳必须接大地;

相关主题
文本预览
相关文档 最新文档