当前位置:文档之家› USB接口的高速数据采集卡的设计与实现

USB接口的高速数据采集卡的设计与实现

USB接口的高速数据采集卡的设计与实现
USB接口的高速数据采集卡的设计与实现

摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。

关键词:USB A/D FIFO 固件

现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI 卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。

通用串行总线USB是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。

1 USB数据采集卡原理

1.1 USB简介

通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速

12Mbps,在USB2.0中其速度提高到480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。

考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片

TUSB3210,开发了具有USB接口的高速数据采集卡。

1.2 系统原理图

系统原理图如图1所示。

整个系统以TUSB3210为核心,负责启动A/D转换,控制FIFO的读写及采样频率的设定,与主机之间的通信及数据传输。

2 USB数据采集卡硬件

2.1 TUSB3210芯片

TUSB3210是TI公司推出的内嵌8052内核并带有USB接口的微控制器芯片。TUSB3210有256字节的内部RAM,8K字节的程序RAM,512字节的USB数据缓冲和端点描述块EDB (Endpoint Descriptor Blocks),4个通用的GPIO端口P0、P1、P2、P3,I2C接口电路,看门狗电路等。

TUSB3210的USB接口符合USB1.1协议,有4个输入端点(Input Endpoint)和4个输出端点(Output End-Point),支持全速和低速传输速率,并具有USB协议所规定的4种传输方式。TUSB3210的USB接口采用串行接口引擎(SIE)编码和解码串行数据,并且进行校验、位填充,执行USB所需要的其他信号。这样采用硬件完成USB协议,简化了固件代码的编制。

TUSB3210采用基于内部RAM的解决方案,允许通过I2C总线从串行EEPROM中读入固件或从主机中下载固件程序。这项功能便于设备的开发与在线升级。

2.2 A/D芯片MAX1449

MAX1449是MAXIM公司生产的10位、105MSPS、单3.3V电源、低功耗的高速A/D 芯片。它采用差分输入,带有高宽带采样/保持(T/H)的10阶段流水线(pipeline)型结构的ADC,如图2。采样信号每半个时钟周期通过一个流水线段,完成连续转换到数据输出共需5.5

个时钟周期。每个流水线首先通过一个1.5位的闪速ADC对输入电压量化,由DAC产生一个对应于量化结果的电压并与输入电压作差,输出电压放大2倍后送到下一级流水线处理。每级流水线提供1位的分辨率,并进行差错校正,得到良好的线性和低失调。

MAX1449提供一个2.048V的精确带隙基准源,用来设定ADC满量程范围,也可以用外部基准源改变量程范围。MAX1449的最大差分输入电压范围为2V。

2.3 输入信号处理电路

MAX1449芯片的输入信号为差分输入时有最佳的采样效果。在本系统中用TI的HTS4503作为单端输入到差分输出的转换电路。THS4503高性能的全差分运放,带宽可达270MHz,具有非常好的线性,在100MHz下可支持11位的A/D转换要求,适合作为A/D变换的前端接口电路。具体电路见图3所示。

2.4 FIFO和时钟发生电路

高速A/D变换的数据不能直接通过USB送入主机,系统中通过FIFO来缓冲数据。本系统采用TI公司SN74V293芯片。它的容量为65536×18或131072×9,最快读写周期为6ns,可以满足100MHz采样数据的存储。用户可以选择输入、输出宽度,当选择输入、输出宽度为18时,可存储64K×10位的数据。如果选择输入、输出为9位,则可使存储容量扩大到128×9位,这样对精度要求不高的用户可以获得更多数据。

SN74V293有独立的读写时钟控制电路,允许读写操作同时进行。SN74V293内部有满、空、半满输出信号以及可编程设定的几乎满和几乎空输出信号,通过这些信号控制器可以灵活控制FIFO的读写操作。

对于高速数据采集系统,时钟信号很重要。在本系统中选用DALLAS公司的DS1073时钟芯片。DS1073是无需外部元件的频率振荡器。通过DALLAS独有的1-wire技术,可以设定内部的分频器数值,实现输出频率从27.3kHz~100MHz可调,从而方便地改变采样时钟,简化电路设计。MAX1449数据输出时下降沿有效,而FIFO写入时上升沿锁存数据。系统中采用DS90LC028A实现对时钟信号的取反。

2.5 PCB板制作

由于是高速A/D采集,在制作PCB板时有一些需要注意的地方。电路板最好使用多层板,元件尽量选用表面封装器件。这样可以减小元器件之间的距离,减小寄生电感、寄生电容,同时减小电路板的尺寸。所有的旁路电容都要尽量靠近芯片的电源管脚。模拟公共地和数字公共地要分开,选一点通过低值表贴电阻(1~5Ω)、磁珠或直接连接,以免数字地电流干扰模拟地。电源最好用线性稳压电源,A/D和前端处理电路要用同一电源地输出,减小电源波动对采集的影响。

3 USB高速数据采集卡的软件

开发一个USB设备,软件设计是必不可少的。USB应用系统软件设计分为三部分:USB 外设端的固件(Firmware)、主机操作系统上的客户驱动程序以及主机应用软件。主机应用软件通过客户驱动程序与系统USBI(USB Device Interface)进行通信,由系统产生USB数据的传送动作;固件则响应各种来自系统的USB标准请求,完成各种数据的交换工作和事件处理。

3.1 USB接口编程

固件程序主要是实现USB通信。TUSB3210采用SIE来管理USB通信。当主机与芯片进行USB通信时,会产生外部中断0,通过中断矢量寄存器判断。Setup_packed_Int、

Input_endpoint0_Int、Output_endpoint0_Int这三个中断主要用于与主机建立连接、进行控制传输或中断传输;Input_endpoint1_Int、Output_endpoint1_Int这两个中断主要在批量传输时使用。在固件中分别执行不同的中断程序来实现USB的数据传输。

Void EX0_int(void) interrupt 0 //外部中断0

{

EA=DISABLE; //关中断

switch (bBECINT)(//确定中断ID

case VECINT_OUTPUT_ENDPOINT0:

bVECFINT=0x00;

Ep0QutputInterruptHandler();

break;

case VECINT_INPUT_ENDPOINT0:

bBECINT=0x00;

Ep0InputInterruptHandler();

break;

case VECINT_OUTPUT_ENDPOINT1:

bVECINT=0x00;

Ep 1 OutputInterruptHandler();

Break;

case VECINT_INPUT_ENDPOINT1:

bVECINT=0x00;

Ep1InputInterruptHandler();

break;

case VECINT_SETUP_PACKET_RECEIVED:

SetupPacketInterruptHandler();

bUSBSTA=USBSTA_SETUP;

bVECINT=0x00;

break;

default:break; //不知道中断ID

EA=ENABLE; //开中断

}

3.2 主机软件设计

笔者首先开发TUSB3210在主机中的驱动程序。用WinDK3.0开发了Win2000下的驱动程序,实现了控制传输、中断传输和批传输的标准接口函数。

在应用程序开发中,可用VC++编制应用程序。可以把USB设备当成文件来操作,利用CreateFile得到USB句柄,用DeviceIoControl来进行控制传输,用ReadFile、WriteFile进行批量传输。程序实例如下:

HANDLE m_hUsbSample; //USB句柄

m_hUsbSample=CreateFile(\\.\USBSampl0,GENERIC_READ

GENERIC_WRITE,FILE_SHARE_READ

FILE_SHARE_WRITE,NULI,OPEN_EXISTING,0,NULL); //打开USB句柄DeviceIoControl(m_hUsbSample,IOCTL_USBSAMPL_REBOOT,

NULL,0,NULL,0,&length,NULL); //控制传输

WriteFile(m_hUsbSample,pBuffer,writelength,&writelength,NULL); //批量输出传输

ReadFile(m_hUsbSample,pBuffer,64,&lgngth,NULL); //批量输入传输

CloseHandle(m_hUsbSample); //关闭USB句柄

使用上述函数编制USB高速数据采集卡的主机软件。

通过以上设计实现了基于USB数据采集卡的基本功能。但还有一些如信号的触发、事件的捕获功能还需完善;需开发基于USB2.0的系统,进一步提高数据传输的速率。

高速数据采集系统设计

高速数据采集系统 设计

基于FPGA和SoC单片机的 高速数据采集系统设计 一.选题背景及意义 随着信息技术的飞速发展,各种数据的实时采集和处理在现代工业控制和科学研究中已成为必不可少的部分。高速数据采集系统在自动测试、生产控制、通信、信号处理等领域占有极其重要的地位。随着SoC单片机的快速发展,现在已经能够将采集多路模拟信号的A/D转换子系统和CPU核集成在一片芯片上,使整个数据采集系统几乎能够单芯片实现,从而使数据采集系统体积小,性价比高。FPGA为实现高速数据采集提供了一种理想的实现途径。利用FPGA高速性能和本身集成的几万个逻辑门和嵌入式存储器块,把数据采集系统中的数据缓存和控制电路全部集成在一片FPGA芯片中,大大减小了系统体积,提高了灵活性。FPGA 还具有系统编程功能以及功能强大的EDA软件支持,使得系统具有升级容易、开发周期短等优点。 二.设计要求 设计一高速数据采集系统,系统框图如图1-1所示。输入模拟信号为频率200KHz、Vpp=0.5V的正弦信号。采样频率设定为25MHz。经过按键启动一次数据采集,每次连续采集128点数据,单片机读取128点数据后在LCD模块上回放显示信号波形。

图1-1 高速数据采集原理框图 三.整体方案设计 高速数据采集系统采用如图3-1的设计方案。高速数据采集系统由单片机最小系统、FPGA最小系统和模拟量输入通道三部分组成。输入正弦信号经过调理电路后送高速A/D转换器,高速A/D 转换器以25MHz的频率采样模拟信号,输出的数字量依次存入FPGA内部的FIFO存储器中,并将128字节数据在LCD模块回放显示。 图3-1 高速数据采集系统设计方案 四.硬件电路设计 1.模拟量输入通道的设计 模拟量输入通道由高速A/D转换器和信号调理电路组成。信号调理电路将模拟信号放大、滤波、直流电平位移,以满足A/D转换器对模拟输入信号的要求。

USB接口的高速数据采集卡的设计与实现

摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。 关键词:USB A/D FIFO 固件 现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI 卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。 通用串行总线USB是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。 1 USB数据采集卡原理 1.1 USB简介 通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速 12Mbps,在USB2.0中其速度提高到480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。 考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片 TUSB3210,开发了具有USB接口的高速数据采集卡。 1.2 系统原理图

系统对接接口设计 (1)

1.社会服务系统对接接口设计 系统能提供兼容不同技术架构的数据接口,保证系统与省级各联合审批职能部门及其他电子政务系统进行数据交换。 1.1. 数据交换接口 数据交换平台基于Java技术和标准数据库接口(JDBC、ODBC等),为不同的数据库系统、应用系统、专用中间件系统提供接入组件,通过对接口协议需求进行抽象,使用TongIntegrator框架,就可以和特定系统的交互。另外提供组件定制接口,可以方便、快速地添加具有新的功能的组件。数据交换平台提供了大量的扩展接口,方便用户进行功能扩展。 1.1.1. 提供企业级需求的标准接口 数据压缩,减少带宽瓶颈;数据加密,提高系统安全性;异常处理,创建和维持了一个“消息异常处理器”的接口,它可以保存因为某种原因不能处理的消息,这些“异常”消息还可以被送回重新加以处理。 1.1. 2. 提供可扩展的告警方式接口 平台默认实现了邮件告警方式,只需要配置相应的邮件信息,当有警告产生时,会自动发送告警邮件给邮件接收者。同时平台还提供了可扩展的告警方式接口,可根据项目需要扩展不同的告警方式,如短信告警等。 1.1.3. 提供第三方的压缩和加密算法接口 提供数据压缩和加密功能,产品本身带有一套数据压缩、加密算法,同时也为第三方的压缩和加密算法提供了接口,用户可以方便的将自己指定的压缩和加密算法嵌入到系统中。 1.1.4. 系统特点 易于维护 通过使应用松耦合或分离,使系统环境中的接口更容易维护。同时通过数据交换平台对外提供统一接口,屏蔽了单个系统内部的改变,可以很容易替换过时的应用。 可扩展 数据交换平台提供了大量的扩展接口,方便用户进行功能扩展。

高速以太网通讯数据采集卡使用说明

16 位 64 通道 500KSPS 光隔 AD 16 通道光隔数字入/16 通道光隔数字出 T9255 使用说明书 一、性能特点: 本板采用有线 10M/100M 以太网口的数据采集器。 本采集卡提供基于 DLL 的编程技术,用户不需要网络知识就可以实现网络采集与控制功能。 本板通过采用高速高精度 AD 芯片、高精度的放大器、高密度 FPGA 逻辑芯片、精细地布线以及优良的制版工艺,实现了高速、高精度实时数据采集,具有以下性能特点: 1、2、 3、 4、5、6、64 通道模拟量高速采集。可以设置 1-64 通道采集,起始通道号可以自由设定。 AD 幅值采集高精度:16 位采集精度,长时间采集时,误差跳码为±2LSB,相对精度优于 0.001%,直流电压波动小于 0.1 毫伏。 软件校准:将校准信息存储在板卡上,用户不用打开仪器设备就可以进行校 准,使用方便,一般情况下不需要用户进行任何校准。 丰富的备用扩展资源:板上 CPLD 资源非常丰富,可以为用户的特殊需求进行定制,如旋转编码器接口、脉冲周期测量接口、PWM 输出接口、外同步接口、触发记录接口、开关量控制接口等(定制)。 提供外部时钟模式:在该模式下,外部时钟信号启动所有通道采集一次,从而 实现多通道与外时钟同步采集模式(定制)。 提供外部触发启动模式:在该模式下,只有当外部给出上升延触发信号后才开 始采集,从而实现用户外触发采集模式的需要(定制)。

二、功能与指标 AD 的性能指标: AD 采样精度:16 位 AD 通道数:单端方式 64 通道。 AD 采集的综合跳码误差为±2LSB。 模拟采集的定时精度:缺省情况下为 50PPM,特殊要求可以定制 AD 输入电压范围:-5V 到+5V、0-10V 可选,或根据用户需要定制量程。 AD 输入阻抗:100 千欧 模拟输入安全电压:±15 伏。当超过 AD 输入量程时,只要不超过安全电压就不 会损坏硬件。建议用户尽可能使输入信号在量程范围内。 抗静电电压:2000 伏 采集方式:连续采集 模拟量安全电压:当输入电压超过±20V 时,有可能造成硬件损坏,由此造成的损 失不在保修范围内。 接口: 总线方式:10M/100M 以太网 开关量指标: 16 路数字量输入,独立光电隔离模式,TTL 电平方式,高电平输入为 高于 2.4V,低电平低于 0.8V,限流电阻 1k 欧姆。 开关量输入的电流,小于 1uA 16 路数字量输出,上电复位清零功能,高电平输出大于 2.4V,低电平 输出低于 0.2V 开关量输出的电流大于 5mA,小于 10mA。 电源: 外部电源输入 10-30V DC,电源电流 200mA。 尺寸: 电路板尺寸:150mm*100mm 电路板定位孔:140*90——Φ3.5mm 工作环境 工作温度:0-70℃ 环境湿度:90%以内

系统对接方案

系统对接设计 1.1.1对接式 系统与外部系统的对接式以web service式进行。 系统接口标准: 本系统采用SOA体系架构,通过服务总线技术实现数据交换以及实现各业务子系统间、外部业务系统之间的信息共享和集成,因此SOA体系标准就是我们采用的接口核心标准。主要包括: 服务目录标准:服务目录API接口格式参考以及关于服务目录的元数据指导规,对于W3C UDDI v2 API结构规,采取UDDI v2的API的模型,定义UDDI的查询和发布服务接口,定制基于Java和SOAP的访问接口。除了基于SOAP1.2的Web Service 接口式,对于基于消息的接口采用JMS或者MQ的式。 交换标准:基于服务的交换,采用HTTP/HTTPS作为传输协议,而其消息体存放基于SOAP1.2协议的SOAP消息格式。SOAP的消息体包括服务数据以及服务操作,服务数据和服务操作采用WSDL进行描述。 Web服务标准:用WSDL描述业务服务,将WSDL发布到UDDI用以设计/创建服务,SOAP/HTTP服务遵循WS-I Basic Profile 1.0,利用J2EE Session EJBs实现新的业务服务,根据需求提供SOAP/HTTP or JMS and RMI/IIOP接口。 业务流程标准:使用没有扩展的标准的BPEL4WS,对于业务流程以SOAP服务形式进行访问,业务流程之间的调用通过SOAP。 数据交换安全:与外部系统对接需考虑外部访问的安全性,通过IP白、SSL认证等式保证集成互访的合法性与安全性。 数据交换标准:制定适合双系统统一的数据交换数据标准,支持对增量的数据自动进行数据同步,避免人工重复录入的工作。 1.1.2接口规性设计 系统平台中的接口众多,依赖关系复杂,通过接口交换的数据与接口调用必须遵循统一的接口模型进行设计。接口模型除了遵循工程统一的数据标准和接口规标准,实现接口

基于DSP和PCI总线的同步数据采集卡设计

基于!"#和#$%总线的同步数据采集卡设计 王宏,许飞云,贾民平 (东南大学设备监控与故障诊断研究所,江苏南京&’(()*) 摘要:介绍了一种在大型设备状态监测和故障诊断系统中作为核心的同步数据采集卡的设 计方法。该采集卡使用+%公司的+,"-&(.$/0’(1!"#做数字信号处理器,对数据采集过 程进行控制,并进行数字信号处理。应用#$%&(0(实现+,"-&(.$/0’(1!"#到#$%总线间 可靠连接,从而保证了采集数据快速、高效地传输到#$机。采集卡集同步数据采集、信号处 理及高速数据传输于一体。在状态监测和故障诊断系统中应用时,能很好的满足数据采集、处 理和传输的需要。 关键词:!"#;#$%总线;#$%&(0(;同步数据采集 中图分类号:+#-)’233文献标识码:1文章编号:’*3’4/&3*(&((3)(’4(()/4(0 !"#$%&’()*&+,-’&’.#!/0/1+2.$#$0$’&3/-45/#"4’&!)6/&46375.# 516789:;,<=>?@4A B:,C%1,@:4D@:; (E?F?G H I J$?:K?H9L$9:M@K@9:,9:@K9H@:;G:M>G B N K!@G;:9F@F, "9B K J?G F K=:@O?H F@K A,6G:P@:;&’(()*,$J@:G) 18#0-/+0:1M?F@;:9L F A:I J H9:9B F M G K G G I Q B@F@K@9:I G H M@F B F?M G F I9H?@:N G H;?4F I G N??Q B@D R?:K’F I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F2+,"-&(.$/0’(1!"#9L+%I9R D G:A@F B F?M G F M@;@K G N F@;:G N D H9I?F F9H9:K J?I G H M K9I9:K H9N K J?D H9I?F F9L M G K G G I4 Q B@F@K@9:G:M K9D H9I?F F K J?G I Q B@H?M M G K G2#$%&(0(@F G D D N@?M K9D H9O@M?G K H@?M I9::?I K@9:S?K T??:+,"-&(.$/0’(1!"#G:M #$%S B F K9;B G H G:K??K J?G I Q B@H?M M G K G K H G:F L?H H?M K9#$@:J@;J F D??M G:M J@;J?L L@I@?:I A2+J?I G H M I9R S@:?F F A:I J H9:9B F M G K G G I Q B@F@K@9:,F@;:G N D H9I?F F G:M J@;J U F D??M M G K G K H G:F@K@9:@:9:?25J?:B F?M@:I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F F A F4 K?R F,K J?I G H M I G:T?N N R??K K J?:??M F9L G I Q B@F@K@9:,D H9I?F F G:M K H G:F R@F F@9:9L M G K G2 9"*:’-4#:!"#;#$%S B F;#$%&(0(;F A:I J H9:9B F M G K G G I Q B@F@K@9: ;引言 随着现代化工业生产日益系统化、高速化和自动化的发展,现代工业生产已逐渐形成一个具有整体性的生产链,一旦某一设备发生故障,将会引起整个生产过程不能正常运行,从而造成巨大的经济损失,严重时将造成灾难性的设备损坏及人员伤亡。近年来,国内外的设备事故时有发生。因此,人们对设备的可靠性和安全性提出了越来越高的要求,设备的故障监测与诊断技术受到了人们的高度重视,并已发展成为一门综合性的交叉学科,亦取得了显著的经济效益和社会效益[’]。 设备的故障监测与诊断技术多是基于#$机的测试系统,首先要进行数据采集,然后才能对获得的数据进行测试分析。所以数据采集卡是设备的故障监测与诊断的基础。 文中主要阐述了基于!"#的#$%总线同步数据采集卡的硬件设计,使用美国+%公司的+,"-&(.$/0’(1 !"#作为采集卡的处理器,使用高速的#$%总线与#$机连接,实现数据的采集和快速传送。该卡主要用于大型设备监测和故障诊断系统中完成数据采集和预处理功能,实现对被监测系统的实时监测。 <硬件设计 <2<采集卡总体结构 在大型设备的状态监测和故障诊断中,振动信号能最迅速最直接地反映机械设备的运行状态,3(V以上的运行故障都以振动形式表现出来。由于振动信号在工频及其各倍频处的能量分布直接反映了设备运行状态,因此需要在数倍于工频的范围内分析振动频谱,作为振动信号的状态监测系统要求也就比较高[-],表现为:采样频率高、信号处理量大、数据传输量也很大。而使用!"#和#$%总线相结合设计的同步采集卡却能满足这一需求。#$%总线数据采集卡系统的原理框图如图’所示。 由图’可以看出,’*通道模拟信号同步采集模块对由抗混滤波板输入的模拟信号进行缓冲处理输入后续的0片0通道同步采集芯片1!3W*/,该0片1!3W*/芯片由同一个采样脉冲控制采样及1/!转换,实现’*通道信号的同步采集。所有1!3W*/芯片的转换结果均通过板内部的!"#总线供+,"-&(.$/0’(1!"#芯片读取,该同步采集模块可根据测量的转速实现’*通道模拟信号同步整周期采集,采集频率每通道可高达3/X8Y。 此外,该信号同步采集模块具有内触发与外触发采样功能,其外触发采样功能可以保证多块’*通道信号同步采集模块同时使用,实现更多通道(如-&、0W通道等)的同步采样。 +,"-&(.$/0’(1!"#芯片为’*通道信号同步采集板的核心,它一方面控制各种信号的采集及保存,另一方面负责信号的分析与处理,并提取设备故障的特征信号通过其8#%接口供计算机获取用于故障诊断。各相关单元如1/!转换芯片、0Z-&[字数据E1,、’&W[字程序/数 !"#$%&’()%*+%&,-.)/01"/%0&,2’34556,78(9)::;!:

高速数据采集卡250MSPS

高速数据采集卡250MSPS 14bit 250MSPS 14bit 8通道高速数据采集卡主要应用于雷达、通信、电子对抗、高能物理、质谱分析、超声等高科技领域。西安慕雷电子在高速数据采集卡研发及系统应用领域拥有十多年经验,2013年底发布了250MSPS 14bit 8通道高速数据采集卡MR-HA-250M,采集记录存储带宽高达3000MB/S。高速数据采集卡MR-HA-250M及记录存储系统的成功发布使得西安慕雷电子在高速数据采集卡及相关记录存储回放领域为国防及科研领域又提供了一套高性能解决方案。 图一高速数据采集卡MR-HA-250M 高速数据采集卡MR-HA-250M模块参数: ●输入接口: 连接器:SSMC; 输入方式:AC或DC耦合; 通道数量:8通道,可同步32通道 ●AFE模块: 高速数据采集卡中的信号调理模块一般采用衰减、滤波及程控增益放大器等对信号进行处理,高速数据采集卡MR-HA-250M采用信号直通AD模式,减少前端调理对高速数据采集卡动态性能影响。 图二高速数据采集卡MR-HA-250M

●ADC模块: 高速数据采集卡的ADC芯片采用Linear Tech LTC2157-14 (250 MSPS) 图三高速数据采集卡MR-HA-250M动态性能 ●时钟管理模块: 高速数据采集卡MR-HA-250M可选择外时钟、内时钟或参考时钟 ●FPGA模块: XILINX或ALTERA的FPGA芯片广泛用于高速数据采集卡中。FPGA模块开放编程是高速数据采集卡的必备能力。高速数据采集卡MR-HA-250M采用XILINX V6系列高性能FPGA。 ●DDR模块: 高速数据采集卡一般都会配有DDR缓存,存储采集过程中的数据。高速数据采集卡MR-HA-250M配置有4GB DDR2。 ●FIFO模式 高速数据采集卡将板载内存虚拟为FIFO,允许采集数据由缓冲后连续不断地通过总线传输到主机内存或硬盘中。该模式特点就是高速、大容量,使得高速数据采集卡记录时间达数小时。记录时间取决于存储介质的容量。 图四高速数据采集卡MR-HA-250M

等间距采样的高速数据采集系统设计

等间距采样的高速数据采集系统设计 郝亮,孟立凡,刘灿,高建中 (中北大学仪器科学与动态测试教育部重点实验室,太原030051) 摘要:简单介绍通过对窄脉冲等间距采样来测试电缆故障的基本原理,分析其脉冲的特点和处理要求;采用F PGA和MSP430F149作为主控芯片,设计了单路多次低速数据采集系统;利用Quartus II软件编写主控程序,并在Modelsim下进行仿真验证。实验结果表明,该系统方案切实可行,可有效解决电缆故障测距过程中的高精度数据采集问题。 关键词:等间距采样;数据采集;MSP430F149;F PGA 中图分类号:TN98文献标识码:B H igh2spe ed Data Acquisition System Based on Equidistance Sampling Hao Liang,Meng Lifan,Liu Can,Gao Jianzhong (Inst ruments Science and Dynamic Measurement Ministry of Education Key Laboratory, North University of China,T aiyuan030051,China) A bstract:T he basic principle of testing cable faults wit h narrow2pulse equidistance sampling is described.Pulse characteristics and pro2 cessing requirements are analyzed.The single2line repeated low2speed dat a acquisition system is designed with FPGA and MSP430F149 as main control chips.Main control procedures are programmed in Quartus II and simulated in Modelsim.Experimental result shows that t he system is practical,and the problem of high2precision data acquisition in the process of cable fault location is resolved effectively. K ey words:equidist ance sampling;data acquisit ion;MSP430F149;FPGA 引言 电缆故障是通信行业中的常见故障,而电缆测距是排除故障的前提条件。准确的电缆测距可以缩短发现故障点的时间,利于快速排除故障,减少损失。窄脉冲时域反射仪利用时域反射技术来测定电缆断点位置,可以同时检测出同轴传输系统中多个不连续点的位置、性质和大小。窄脉冲信号持续的时间非常短暂,为了能够有效地捕捉到窄脉冲信号,对A/D采样率和处理器速率提出了较高的要求,传统的数据采集已经不能满足系统设计需求。本文介绍的单路多次低速数据采集方案硬件结构简单,成本低,能够满足系统设计要求。 1系统设计理论依据 根据电磁波理论,电缆即传输线。假若在电缆的一端发送一探测脉冲,它就会沿着电缆进行传输,当电缆线路发生障碍时会造成阻抗不匹配,电磁波会在障碍点产生反射。在发射端,由测量仪器将发送脉冲和反射脉冲波形记录下来。实际测试中,具体障碍的波形有所差异:断线(开路)障碍时,反射脉冲与发射脉冲极性相同;而短路、混线障碍时,反射脉冲与发射脉冲极性相反。波形如图1所示。 图1发射脉冲与反射脉冲波形 设从发射窄脉冲开始到接收到反射脉冲波的时间为$t,则: l=v#$t 2 其中,v为脉冲波在电缆中的传输速度;l为电缆故障点与脉冲波送入端的距离。 由以上分析可知,在同一个固定障碍的线路上多次送入同一脉冲电压,其反射脉冲将同样地在同一位置多次出现。 要实现对反射窄脉冲的捕获和1m的测距分辨率(在波速为200m/L s的情况下),则$t= 2l v =2@1 200 =0.01L s =10ns。即要求抽样的时间分辨率为10ns,对应的数据采集系统频率高达100MHz。同时,最大测量范围是2km 时,要求发射脉冲的重复周期T= 2l v =2@2000 200 =20L s。

1仪器的工作原理及系统构成-高速数据采集卡

1 仪器的工作原理及系统构成 虚拟示波器是由信号调理器,PCI总线的数据采集卡组成的外部采集系统加上软件构成的分析处理系统组成。被测信号送到信号调理电路,进行隔离、放大、滤波整流后送数据采集卡进行A/D转换,最后由控制软件对测试信号进行数据处理,完成波形显示,参数测量、频谱分析等功能。系统结构如图1显示 图1 系统结构图 2 系统的设计及功能实现 2.1硬件部分 硬件部分主要包括传感器、信号调理电路及数据采集卡。 理电路针对不同的测试对象有不同的选择和设计。数据采集是硬件部分的核心, 它的性能直接影响数据采集的速度和精度。另外,LabVIEW可对NI公司的数据 采集卡进行驱动和配置,可充分利用采集卡的性能。基于此,我选择的数据采集 卡是NI公司生产的。下面主要介绍数据采集卡的性能和安装配置。 2.1.1 PCI—6010数据采集卡的简介 PCI—6010采集卡是基于32位PCI总线的多通道的数据采集设备,具有数 字输入/输出、模拟输入/输出和计数器等功能。它通过SH37F—37M电缆与CB —37F—LF 输入输出接口面板连接,该接口面板具有37个螺旋状的接口终端。 同时此数据采集卡具有3个完全独立的DMA控制(模拟输入、定时/计数器0、 定时/计数器1)。本卡还具有刻度校准电路系统。由于运行时,时间和温度漂移 会引起一定的模拟输入、输出误差,为了使此误差最小,可以调整设备的校准刻 度。而它的出厂校准信息存储在EEPROM中,不能修改。而修改此信息必须通 过软件来实现。

该数据采集卡具有8个差动模拟输入通道(即16个对地单信号模拟输入通道),电压范围为±5V, ±1V,±0.2V;2个模拟输出通道,电压范围为±5V。同时它还具有6个数字输入通道,4个数字输出通道。数字输入的VIH(Input high voltag e)的最小值是2.0 V, 最大值是5.25 V,VIL(Input low voltage)的最大值是0. 8 V, 最小值是–0.3 V;数字输出的IOH(Output high current)的最大值是–6 mA ,IOL (Output low current) 的最大值是2 mA。信号通道的最大采样速率是200 kS/s (single channel) ,扫描时最大采样速率是33.3 kS/s (scanning)。 2.1.2 PCI—6010数据采集卡的安装 将NI PCI—6010数据采集卡插到计算机主板的一个插槽中,接好附件。附件包括一个型号为CB—37F—LF的转接板,和一条SH37F—37M电缆。转接板直接与外部信号连接。在完成了NI PCI—6010数据采集卡的硬件连接后,就需要 安装该卡的驱动程序。安装步骤如下: (1)运行程序→National Instrument DAQ→NI-DAQ Setup。在出现对话框中 单击NEXT按钮。 (2)在出现的Seletct DAQ Devices对话框中选中NI PCI—6010,单击NEXT 按钮。 (3)在后续出现的全部对话框中单击NEXT按钮,即可完成NI PCI—6010数 据采集卡的安装。 (4)重新启动计算机。完成数据采集卡的安装。 2.1.3 PCI—6010数据采集卡的配置 在安装好数据采集卡后就要对其进行系统配置。点击图标Measurement & Automation Explorer,在弹出的Devices and Interface 中进行I/O配置。 (1) 这支采集卡在系统的设备的编号:将参数Device值设为1; (2) 设置模拟输入AI的属性:将Polarity 值设为-5V~+5V,将Mode属性设 置为Differentioal(差动); (3) 设置模拟输出AO的属性:在AO窗口中,将属性设为Bipolar(双极性)。 在完成上述设定之后,单击“确定”按钮。在Systerm窗口中有“Test Resources”按钮,可检验设备是否正确配置。通过后再进行简单的通道配置,即可完成数据采集卡的全部设置。

系统对接设计方案

系统对接设计 1.1.1 3、7、3 对接方式 系统与外部系统的对接方式以web service方式进行。 系统接口标准: 本系统采用SOA体系架构,通过服务总线技术实现数据交换以及实现各业务子系统间、外部业务系统之间的信息共享与集成,因此SOA体系标准就就是我们采用的接口核心标准。主要包括: 服务目录标准:服务目录API接口格式参考国家以及关于服务目录的元数据指导规范,对于W3C UDDI v2 API结构规范,采取UDDI v2 的API的模型,定义UDDI的查询与发布服务接口,定制基于Java与SOAP的访问接口。除了基于SOAP1、2的Web Service接口方式,对于基于消息的接口采用JMS或者MQ的方式。 交换标准:基于服务的交换,采用HTTP/HTTPS作为传输协议,而其消息体存放基于SOAP1、2协议的SOAP消息格式。SOAP的消息体包括服务数据以及服务操作,服务数据与服务操作采用WSDL进行描述。 Web服务标准:用WSDL描述业务服务,将WSDL发布到UDDI用以设计/创建服务,SOAP/HTTP服务遵循WS-I Basic Profile 1、0,利用J2EE Session EJBs 实现新的业务服务,根据需求提供SOAP/HTTP or JMS and RMI/IIOP接口。 业务流程标准:使用没有扩展的标准的BPEL4WS,对于业务流程以SOAP服务形式进行访问,业务流程之间的调用通过SOAP。 数据交换安全:与外部系统对接需考虑外部访问的安全性,通过IP白名单、SSL认证等方式保证集成互访的合法性与安全性。 数据交换标准:制定适合双方系统统一的数据交换数据标准,支持对增量的数据自动进行数据同步,避免人工重复录入的工作。 1.1.2 3、3、8接口规范性设计 系统平台中的接口众多,依赖关系复杂,通过接口交换的数据与接口调用必须遵循统一的接口模型进行设计。接口模型除了遵循工程统一的数据标准与接口

第10章基于研华数据采集卡的LabVIEW程序设计

第10章基于研华数据采集卡的 L a b V I E W程序设计 本章利用研华公司的PCI-1710HG数据采集卡编写LabVIEW程序,包括:模拟量输入、模拟量输出、开关量输入以及开关量输出等。 10.1 模拟量输入(AI) 10.1.1 基于研华数据采集卡的LabVIEW程序硬件线路 在图10-1中,通过电位器产生一个模拟变化电压(范围是0V~5V),送入板卡模拟量输入0通道(管脚68),同时在电位器电压输出端接一信号指示灯,用来显示电压变化情况。 图10-1 计算机模拟电压输入线路 本设计用到的硬件为:PCI-1710HG数据采集卡、PCL-10168数据线缆、ADAM-3968接线端子(使用模拟量输入AI0通道)、电位器(10K)、指示灯(DC5V)、直流电源(输出:DC5V)等。 10.1.2 基于研华数据采集卡的LabVIEW程序设计任务 利用LabVIEW编写应用程序实现PCI-1710HG数据采集卡模拟量输入。 任务要求: (1)以连续方式读取电压测量值,并以数值或曲线形式显示电压测量变化值;

(2)当测量电压小于或大于设定下限或上限值时,程序画面中相应指示灯变换颜色。

10.1.3 基于研华数据采集卡的LabVIEW程序任务实现 1.建立新VI程序 启动NI LabVIEW程序,选择新建(New)选项中的VI项,建立一个新VI程序。 在进行LabVIEW编程之前,必须首先安装研华设备管理程序Device Manager、32bit DLL驱动 程序以及研华板卡LabVIEW驱动程序。 2.设计程序前面板 在前面板设计区空白处单击鼠标右键,显示控件选板(Controls)。 (1)添加一个实时图形显示控件:控件(Controls)→新式(Modern)→图形(Graph)→波形图形(Waveform Chart),标签改为“实时电压曲线”,将Y轴标尺范围改为0.0-5.0。 (2)添加一个数字显示控件:控件(Controls)→新式(Modern)→数值(Numeric)→数值显示控件(Numeric Indicator),标签改为“当前电压值:”。 (3)添加两个指示灯控件:控件(Controls)→新式(Modern)→布尔(Boolean)→圆形指示灯(Round LED),将标签分别改为“上限指示灯:”、“下限指示灯:”。 (4)添加一个停止按钮控件:控件(Controls)→新式(Modern)→布尔(Boolean)→停止按钮(Stop Button)。 设计的程序前面板如图10-2所示。 图10-2 程序前面板 3.框图程序设计——添加函数 进入框图程序设计界面,在设计区空白 处单击鼠标右键,显示函数选板(Functions)。 在函数选板(Functions)下添加需要的函数。 (1)添加选择设备函数:用户库→ Advantech DA&C(研华公司的LabVIEW函数库)→ EASYIO → SelectPOP →,如图10-3所示。 图10-3 SelectPop函数库

基于JESD204B的高速串行数据收发接口设计

第40卷第5期2018年10月 指挥控制与仿真 Command Control &Simulation Vol.40 No.5Oct.2018 文章编号:1673-3819(2018)05-0129-04 基于JESD204B 的高速串行数据收发接口设计 徐凤萍1,龚至诚2,王 巍1 (1.江苏自动化研究所,江苏连云港 222061;2.江苏科技大学电子信息学院,江苏镇江 212003) 摘 要:目前的ADC /DAC 多采用并行传输接口,在进行数据采用分析时,存在着串扰高二传输同步困难的一系列难题,为了解决这些问题,推出了以JESD204B 为基础的高速串行数据传输接口三接口基于Xilinx 公司的Virtex-7系列FPGA 为核心控制单元设计电路,对于单通道来讲,其对应的传输速率是6Gb /s ,进行有关信息收发检测,证明了传输信息的同步性以及整个方案的可行性三结果证明,基于JESD204B 的串行传输办法不仅有效化解了并行传输存在的缺陷,同时有效降低了PCB 布线难度,并且节约了成本三 关键词:高速串行协议;JESD204B ;数据传输接口设计;FPGA ;模数/数模转换器 中图分类号:TP368.1 文献标志码:A DOI :10.3969/j.issn.1673-3819.2018.05.026 Design of High Speed Serial Data Transceiver Interface Based on JESD204B XU Feng-ping 1,GONG Zhi-cheng 2,WANG Wei 1 (1.Jiangsu Automation Research Institute,Lianyungang 222061,China;2.Jiangsu University of Science and Technology,Zhenjiang 212003,China) Abstract :In order to solve the problems of large crosstalk and difficult synchronization between the parallel sampling data in traditional ADC /DAC applications,a data transceiver interface based on high-speed serial protocol JESD204B is designed.With Xilinx company V7series FPGA as the core control unit circuit design,the single channel transmission rate of 6Gb /s under the condition of complete data transceiver test,verify the feasibility of synchronization,accuracy and overall scheme of data transmission in the process of.The design results show that this serial transmission mode not only solves many problems caused by parallel transmission,but also reduces the complexity of PCB routing,reduces the number of boards and saves the cost. Key words :High-speed serial protocol;JESD204B;Interface of data transmission;FPGA;ADC /DAC 收稿日期:2018-05-28 修回日期:2018-07-03 作者简介:徐凤萍(1984-),女,山西宁武人,工程师,研究方 向为嵌入式计算机及网络三 龚至诚(1993-),男,硕士研究生三 JESD204B 是一种新型的基于高速SERDES 的AD /DA 数据收发传输接口,而高速AD /DA 数据收发传输接口是集成电路当中最关键的设计实现环节,其涵盖了模数转换(ADC,Analog-to-Digital Converter)和数模转换(Digital-to-Analog Converter,DAC)[1]三在此之前,绝大多数高速AD /DA 转换数据收发接口采用LVDS 接口,目前随着数字信号处理方法不断发展以及科技的持续进步,对集成电路传输及转换的速度和带宽要求也越来越高,以往的普通转换模块已经不能满足需求,这样就促进了高速ADC /DAC 等集成电路在高速传输技术方面的急速发展[2]三 以往采样数据的传输方式在传统用法上多采用如 LVDS 传输等并行方式,但是并行方式容易出现时钟同步困难二串行干扰大等问题,使信号容易受到干扰三同时并行传输方式会产生PCB 板设计繁琐二层数增多二花费高等众多难题三本文在JESD204B 的基础上,对高速 串行数据收发接口的设计方法和实现途径进行了研究,通过在Xilinx 公司的Virtex-7系列FPGA 内集成,完成基于JESD204B 协议的高速SERDES 的AD /DA 数据收发传输接口设计与集成实现,并完成信息的传输验证及相关试验三 1 JESD204B 协议的优势 数据转换器与FPGA 与的接口电平标准最常见的有两种,一种是互补金属氧化物半导体(简称CMOS),另一种是低电压差分信号(简称LVDS)三上述两种类型的区别主要在于CMOS 的瞬态电流随信息转换率的升高而逐渐变大,而LVDS 的电流则比较稳定,可是因为LVDS 对应的最高支持接口速率相对目前的应用需求也是相对较低的,最高只有2Gb /s 左右[3]三随着相关技术的进步,对相关转换器传输率二低功耗二高带宽等层面的要求逐渐提高,对应的传统的CMOS /LVDS 两种接口电平方式逐渐无法满足应用需求,特别是在转换速度二数据带宽二分辨率二功耗等方面无法满足高速 AD /DA 的发展与应用的需求三 针对高带宽二高速率二低功耗需求的,JESD204B 传 输协议提出了一种高效地基于高速串行数据接口的解决方案,JESD204B 协议的主要技术优势在于:简化系 万方数据

教你设计pci总线的高速数据采集卡(基于pci9054)

教你设计PCI总线的高速数据采集卡(基于PCI9054) 2007-03-13 21:02 眼下有不少场合需要用到PCI总线的数据采集卡,下面我就来谈一下设计PCI数采卡的原理及要点。 首先我要以我的实际经验,纠正存在于很多人心里的几个误区: 1.设计PCI采集卡要通读PCI协议。 相信有很多初学者都在这个地方被吓住了,几百页的英文要通读并理解谈何容易!其实PCI协议处理的这部分功能已经被PCI接口芯片完成了,如PLX公司的9054、9056和9052等等,它封装了PCI协议的细节,我们只需要控制这颗接口芯片local端的几个控制线就可以完成PCI总线的数据传输。PCI协议也有它的用处,我们只需要在某些需要注意的地方查阅一下相关章节即可,比如PRSNT1#和PRSNT2#引脚至少要有一个下拉,才能识别到卡,这就是PCI协议中的规定。 2. PCI卡布线很复杂,一不小心就可能不成功。 其实对于32位33MHz的PCI总线来说,布线相对比较简单,只要稍加注意就不会出问题。比如:PCI总线的时钟线要做成2500(+/-100)mil,这个是要注意的一点,一般PCI卡上的蛇行弯曲走线就是这条线,因为走直线距离一般都达不到此长度。其他要求,比如地址和数据线要在1500mil以内,其实你超过一些也没什么问题,不要超太多就好了。 3. PCI卡的驱动程序编写很难。 其实无论是软件还是硬件设计,都有一些相对成熟的资料可以参考。对驱动程序来说也是这样,对实际项目的开发没有几个是从头到尾自己在编代码,都可以在网上找到一些成熟的代码,然后自己修改一下即可,况且PCI卡的驱动程序又相对比较成熟,可参考的资料也较多。所以你要从网上找代码,向PCI接口芯片的供应商要代码,等收集到足够多的代码,再配以适当的教材(比如对于windows2000/XP系统下的WDM驱动程序,可以参考武安河老师的教材就足够),就可以进行你自己的驱动设计了。 下面我再针对具体应用谈谈PCI采集卡的设计: 一般数采卡的情况是将A/D转换后的数据通过PCI总线上传到PCI机,然后利用

5 Gsps高速数据采集系统的设计与实现

5 Gsps 高速数据采集系统的设计与实现 摘要:以某高速实时频谱仪为应用背景,论述了5 Gsps 采样率的高速数据采集系统的构成和设计要点,着重分析了采集系统的关键部分高速ADC(analog to digital,模数转换器)的设计、系统采样时钟设计、模数混合信号完整性设计、电磁兼容性设计和基于总线和接口标准(PCI Express)的数据传输和处理软件设计。在实现了系统硬件的基础上,采用Xilinx 公司ISE 软件的在线逻辑分析仪(ChipScope Pro)测试了ADC 和采样时钟的性能,实测表明整体指标达到设计要求。给出上位机对采集数据进行处理的结果,表明系统实现了数据的实时采集 存储功能。关键词:高速数据采集;高速ADC;FPGA;PCI Express 高速实时频谱仪是对实时采集的数据进行频谱分析,要达到这样的目的,对数据采集系 统的采样精度、采样率和存储量等指标提出了更高的要求。而在高速数据采集 系统中,ADC 在很大程度上决定了系统的整体性能,而它们的性能又受到时钟质量的影响。为满足系统对高速ADC 采样精度、采样率的要求,本设计中提 出一种新的解决方案,采用型号为EV8AQ160 的高速ADC 对数据进行采样;考虑到ADC 对高质量、低抖动、低相位噪声的采样时钟的要求,采用AD9520 为5 Gsps 数据采集系统提供采样时钟。为保证系统的稳定性,对模数混合信号完整性和电磁兼容性进行了分析。对ADC 和时钟性能进行测试,并给出上位 机数据显示结果,实测表明该系统实现了数据的高速采集、存储和实时后处理。 1 系统的构成高速数据采集系统主要包括模拟信号调理电路、高速ADC、高速时钟电路、大容量数据缓存、系统时序及控制逻辑电路和计算机接口电路等。图1 所示为5 Gsps 高速数据采集系统的原理框图。所用ADC 型号为EV8AQ160,8 bit 采样精度,内部集成4 路ADC,最高采样率达5 Gsps,可以工作在多种模式下。通过对ADC 工作模式进行配置,ADC 既可以工作在采样

相关主题
文本预览
相关文档 最新文档