2016年北航FPGA实验报告
- 格式:docx
- 大小:476.37 KB
- 文档页数:23
北航ARM9实验报告:实验3uCOS-II实验北航 ARM9 实验报告:实验 3uCOSII 实验一、实验目的本次实验的主要目的是深入了解和掌握 uCOSII 实时操作系统在ARM9 平台上的移植和应用。
通过实际操作,熟悉 uCOSII 的任务管理、内存管理、中断处理等核心机制,提高对实时操作系统的理解和应用能力,为后续的嵌入式系统开发打下坚实的基础。
二、实验环境1、硬件环境:ARM9 开发板、PC 机。
2、软件环境:Keil MDK 集成开发环境、uCOSII 源代码。
三、实验原理uCOSII 是一个可裁剪、可剥夺型的多任务实时内核,具有执行效率高、占用空间小、实时性能优良和可扩展性强等特点。
其基本原理包括任务管理、任务调度、时间管理、内存管理和中断管理等。
任务管理:uCOSII 中的任务是一个独立的执行流,每个任务都有自己的堆栈空间和任务控制块(TCB)。
任务可以处于就绪、运行、等待、挂起等状态。
任务调度:采用基于优先级的抢占式调度算法,始终让优先级最高的就绪任务运行。
时间管理:通过系统时钟节拍来实现任务的延时和定时功能。
内存管理:提供了简单的内存分区管理和内存块管理机制。
中断管理:支持中断嵌套,在中断服务程序中可以进行任务切换。
四、实验步骤1、建立工程在 Keil MDK 中创建一个新的工程,选择对应的 ARM9 芯片型号,并配置相关的编译选项。
2、导入 uCOSII 源代码将 uCOSII 的源代码导入到工程中,并对相关的文件进行配置,如设置任务堆栈大小、系统时钟节拍频率等。
3、编写任务函数根据实验要求,编写多个任务函数,每个任务实现不同的功能。
4、创建任务在主函数中使用 uCOSII 提供的 API 函数创建任务,并设置任务的优先级。
5、启动操作系统调用 uCOSII 的启动函数,使操作系统开始运行,进行任务调度。
6、调试与测试通过单步调试、查看变量值和输出信息等方式,对系统的运行情况进行调试和测试,确保任务的执行符合预期。
2014年《仪器光电综合实验》实验报告姓名学号实验名称:彩色线阵CCD传感器实验及驱动电路设计报告实验日期:2014年10 月17日第一部分彩色线阵CCD传感器实验报告(实验一、实验二、实验三、实验四)实验一、线阵CCD原理2.驱动脉冲相位的测量2)用CH1 探头测量转移脉冲SH。
用CH2 探头分别观测驱动脉冲F1与F2。
SH-F1SH-F2对比两图,发现F2、F1的相位相反,并且均进入采集状态早于SH脉冲,离开采集状态晚于SH脉冲,从而保证了充分采集电子。
3)用CH1 探头测量F1 信号。
CH2 探头分别测量F2、RS、CP、SP 信号。
F1-F2F1-SPF1-RSF1-CPF2与F1相位相反,SP脉冲迟于F1,RS略迟于SP,CP略迟于RS,即在F1高电平期间,先采样保持,后复位,再篏位,从而输出稳定的图像。
4)用CH1 探头测量CP 信号。
CH2 探头分别测量RS、SP。
CP-RSCP-SP可见篏位信号CP滞后于采样保持信号SP和复位信号RS。
5) 将以上所测的相位关系与TCD2252D 的驱动波形相对照。
实验结果与之完全符合。
3.驱动频率和积分时间测量将实验仪的频率设置恢复为“0”档,同时确认积分时间设置为“00”档。
用CH1 做观测FC信号的同步(示波器扫描频率调至2ms 左右,便于观察)。
用CH2 测量SH 信号。
发现SH和FC信号周期相同。
4) 保持CH1 探头不变,增加积分时间,用CH2 探头分别测量UG、UR 和UB 信号,观测这三个信号在积分时间改变时的信号变化。
积分时间0档02 R积分时间0档02 G 积分时间0档02 B积分时间0档05 R 积分时间0档05 G积分时间0档05 B 积分时间0档08 R积分时间0档08 G积分时间0档08 B5)展开SH 信号,观测SH 波形和CCD 输出波形之间的相位关系。
R GB6)重复上述步骤观测FC 波形和CCD 输出波形之间的相位关系。
BUAAOS——Lab3实验报告lab3实验报告思考题3.1 为什么我们在构造空闲进程链表时必须使⽤特定的插⼊的顺序?(顺序或者逆序)完成空闲链表的插⼊后,envs数组下标正好对应链表中的由前到后的顺序,因此调⽤空闲进程数组时优先调⽤下标最⼩的。
3.2 思考env.c/mkenvid 函数和envid2env 函数:请你谈谈对mkenvid函数中⽣成id 的运算的理解,为什么这么做?根据进程数组下标给每个进程⼀个独⼀⽆⼆的进程ID,同时还可以通过进程号获取数组偏移量找到进程块进⽽获得进程的全部信息。
为什么envid2env中需要判断e->env_id != envid 的情况?如果没有这步判断会发⽣什么情况?进程块也许会被替换,⽽ID只与⼀个进程块对应,如果没有这⼀步,可能会执⾏错误的进程块。
3.3 结合include/mmu.h中的地址空间布局,思考env_setup_vm 函数:我们在初始化新进程的地址空间时为什么不把整个地址空间的 pgdir都清零,⽽是复制内核的boot_pgdir作为⼀部分模板?(提⽰:mips 虚拟空间布局)因为每个进程都需要拥有内核的页表信息,这样才能够在陷⼊内核时正确执⾏,因此需要把内核拥有的虚拟地址对应的页表进⾏拷贝。
UTOP 和 ULIM 的含义分别是什么,在 UTOP 到 ULIM 的区域与其他⽤户区相⽐有什么最⼤的区别?UTOP是⽤户进程读写部分的最⾼地址,ULIM是⽤户进程的最⾼地址,UTOP到ULIM的区域为⽤户进程的进程块还有页表,不能被⽤户⾃⼰更改只能读取。
在 step4 中我们为什么要让pgdir[PDX(UVPT)]=env_cr3?(提⽰: 结合系统⾃映射机制)env_cr3是页⽬录的物理地址,pgdir[PDX(UVPT)]对应页⽬录的页⽬录项。
谈谈⾃⼰对进程中物理地址和虚拟地址的理解。
进程对应的地址都是虚拟地址,所有的物理地址只能从页表中查询所得到。
电位差计及其应用实验的误差分析尹天杰刘昫辰(北京航空航天大学机械工程及自动化学院北京 102206)摘要:本文分析了电位差计及其应用实验中的测量待测电源电动势的实验误差,发现当工作电流没有进行标准化处理时,实验不确定度将增加,影响实验精确性。
这个问题告诉我们,实验的优化设计,往往可以起到获得更准确的数据、提高实验精度的作用。
关键词:电位差计、工作电流标准化、实验误差中图分类号:043文献标识码:A文章编号:补偿法在电磁测量技术中有广泛的应用,一些自动测量和控制系统中经常用到电压补偿电路。
电位差计是电压补偿原理应用的典型范例,它是利用电压补偿原理是电位差计变成内阻无穷大的电压表,同于精密测量电动势或电压。
同理,利用电流补偿原理也可制作一内阻为零的电流表,用于电流的精密测量。
电位差计的测量准确度高,且避免了测量的接入误差,但他操作比较复杂,也不易实现测量的自动化。
在数字仪表迅速发展的今天,电压测量已逐步被数字电压表所代替,后者因为内阻高、自动化测量容易,得到了广泛的应用。
尽管如此,电位差计作为补偿法的典型应用,在电学实验中仍有重要的训练价值。
此外,直流比较式电位差计仍是目前准确度最高的电压测量仪表,在数字电压表及其他精密电压测量仪表的检定中,常作为标准仪器使用。
一、实验目的1.学会设计自组电位差计电路图并连接电路 2.学习补偿原理、零示法、比较测量法二、实验原理1.补偿原理测量干电池电动势EX的最简单办法就是把伏特表接到电池的正负极上直接读数,但由于电池和伏特表的内阻,测得的电压V=EXR/(R+r)并不等于电池的电动势EX。
由于伏特表的接入,总要从被测电路分出一部分电流,从而产生接入误差。
为了避免接入误差,可以采用补偿电路(如图所示)。
如果cd可调,E>EX,则总可以找到一个cd位置,使EX所在回路中无电流通过,这时Vcd=EX。
上述原理称为补偿原理。
2.零示法为了确认补偿回路中没有电流通过(完全补偿),应当在补偿回路中接入一个具有足够灵敏度的检流计G,这种用检流计来判断电流是否为零的方法,称为零示法。
北京航空航天大学Verilog实验报告学院:班级:姓名:2017年5月目录练习一:简单组合逻辑设计 (55)一、实验目的 (55)二、实验设备 (55)三、实验内容 (55)四、实验代码 (55)五、仿真结果 (55)六、实验总结 (55)选作一:设计一个字节的比较器 (77)一、实验目的 (77)二、实验设备 (77)三、实验代码 (77)四、仿真结果 (88)五、实验总结 (88)练习二简单分频时序逻辑电路的设计 (99)一、实验目的 (99)二、实验设备 (99)三、实验内容 (99)四、实验代码 (99)五、仿真结果 (99)六、实验总结 (99)选作二七段数码管译码电路 (1010)一、实验目的 (1010)二、实验设备 (1010)三、实验代码 (1010)四、仿真结果 (1111)五、实验总结 (1111)练习三:利用条件语句实现计数分频时序电路 (1212)一、实验目的 (1212)二、实验设备 (1212)三、实验内容 (1212)四、实验代码 (1212)五、仿真结果 (1313)六、实验总结 (1313)选作三:设计一个单周期形状的周期波形 (1414)一、实验目的 (1414)二、实验设备 (1414)三、实验代码 (1414)四、仿真结果 (1414)五、实验总结 (1515)练习五:用always块实现较复杂的组合逻辑 (1616)一、实验目的 (1616)二、实验设备 (1616)三、实验内容 (1616)四、实验代码 (1616)五、仿真结果 (1717)六、实验总结 (1717)选作五:运用always块设计一个8路数据选择器 (1818)一、实验设备 (1818)二、实验内容 (1818)三、实验代码 (1818)四、仿真结果 (1919)五、实验结果............................................... 错误!未定义书签。
课程代码:051709研究生课程试卷2017-2018学年第一学期期末《飞行力学实验I》飞行原理实验报告考试时间2018年 11月 1日姓名:苏雨学号:ZY1805316专业:飞行器设计指导教师:王维军北京航空航天大学航空科学与工程学院2018年11月飞机失速尾旋现象研究第一章:失速尾旋现象介绍在我从事航模生涯这些年以来,有一种十分危险的飞行现象,导致了我多架模型飞机坠毁。
这就是在飞行中有时会出现飞机突然失去控制,一边下坠,一边偏侧翻转,操纵无效直到坠地。
经查阅资料,了解到这种飞行现象称为失速尾旋。
失速:失速是当机翼攻角(迎角)增大到一定的程度(临界迎角)后,机翼上表面气流分离,导致升力减小所发生的现象。
飞机将低头下沉,直至获得足够升力飞行。
在高度低时发生失速是危险的,高度足够高时,可以练习失速的改出,改出失速的基本操作是迅速推杆到底采用俯冲姿态,等速度大于等于1.3倍失速速度时,缓慢向后拉杆改出至平飞。
尾旋(螺旋):当一侧机翼先于另一侧机翼失速时,飞机会朝先失速的一侧机翼方向沿飞机的纵轴旋转,称为螺旋或尾旋。
发生螺旋式非常危险的事情,有些飞机在设计制造时是禁止飞机进入螺旋的,这样的飞机进入螺旋姿态后,很难改出。
可以改出的飞机改出尾旋的基本方法是推杆到底,并向相反方向拉杆,如果发动机以高速运转,必须立即收油门到慢车,向螺旋相反方向蹬满舵,螺旋停止后,使用失速改平的方法。
成功的关键是飞行员的技术和飞机的性能。
全世界每年飞机事故中因失速发生的占事故总数约30%~40%,如果飞行员认知不清、处置不及时准确,飞机很可能在极短时间内进入失速尾旋,若在低空小高度时飞机进入失速尾旋处置不当,很可能会造成机毁人亡的等级事故,研究失速与尾旋的预防措施与改出方法,对考核飞机边界飞行的操控性、安全性,挖掘飞机的机动性能以及保证战斗生存率与飞行安全意义重大。
第二章:失速尾旋现象原理分析2.1失速现象原理分析飞机在飞行时,机翼翼型中心与气流来流方向的夹角为迎角,当迎角增加到抖振迎角时,机翼上气流开始分离,机翼开始出现了抖振,此时机翼升力系数还在上升,当迎角增加到临界迎角时,机翼表面气流分离出现了严重分离,飞机升力系数急剧下降,可见失速根源是由于机翼表面气流分离造成,失速也包括平尾、鸭翼等控制翼面的气流分离,导致机翼和飞机其它控制翼面失去部分或全部效能,在失速过程中如果飞机升力支撑不了飞机重量,飞机就会掉高度(图1、图2),临界迎角表征着飞机抗失速能力,飞机临界迎角越大,飞机抗失速能力越大,其中一代、二代战机临界迎角约为10°~25°、三代战机约为25°~50°、四代战机约为50°~70°,飞行中仰角,其中θ为俯仰角、φ为偏航角、γ为滚转角(下同)。
装……订……线基于FPGA的分频器设计一、实验目的1、了解EDA软件在电子设计当中的重要作用EDA:EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术:就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可操作性。
2、熟悉并掌握MAXPLUS II 或QUARTUS II等开发软件的基本使用方法。
3、运用图解法设计分频器电路,并进行电路仿真。
二、实验内容利用MAXPLUS II开发软件设计分频电路。
1MHz图分频电路框图从图中我们可以看出将1MHZ的脉冲连续6次除10,即可得到我们需要的输出频率。
利用MAXPLUS II 或QUARTUS II等开发软件的器件库,找到一个BCD的计数器:74LS160,(如图)从它的波形图中可看到它具有的逻辑功能:BCD计数,异步清零,有进位输出。
其中CLK—为时钟信号;ENT、ENP—为使能信号,高电平有效;CLRN—异步清零端,电平为高时,计数器清零,电平为低时,允许计数;QD~QA—计数器输出端;RCO—进位输出端。
图74LS160图形符号装……订……线图74LS160波形图74LS160是一个对输入时钟进行十分频的器件。
当计数器从0计数到9时,RCO从低电平跳到高电平,在下一个脉冲到来时,RCO再回到低电平。
每十个计数脉冲RCO翻转一次,利用RCO特性,将前一级的TC输出端接到下一级的时钟输入端,就完成了连续分频的目的。
调用6次74LS160,即可完成设计电路要求。
《FPGA设计与应用》实验指导书某某编武汉理工大学华夏学院2011年9月前言一、实验课目的数字电路与系统设计实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及FPGA的基本原理、基带传输系统的设计、Uart串口控制器电路的设计、PS/2接口的设计、VGA显示接口设计。
要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog语言的编程,掌握数字电路和系统的设计。
通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。
培养学生使用Basys 2开发板的能力以及运用实验方法解决实际问题的能力。
二、实验要求:1.课前预习①认真阅读实验指导书,了解实验内容;②认真阅读有关实验的理论知识;③读懂程序代码。
2.实验过程①按时到达实验室;②认真听取老师对实验内容及实验要求的讲解;③认真进行实验的每一步,观察程序代码与仿真结果是否相符;④将实验过程中程序代码和仿真结果提交给老师审查;⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。
3.实验报告①按要求认真填写实验报告书;②认真分析实验结果;③按时将实验报告交给老师批阅。
三、实验学生守则1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西;2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件;3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线;4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。
目录实验一Uart通用串口接口的设计 (4)实验二PS/2接口的设计 (28)实验三VGA显示接口设计 (30)附录一 basys 2开发板资料 (36)实验一 Uart串口控制接口电路的设计一、实验目的1.掌握分频模块的设计方法。
电气技术实践 可编程逻辑器件FPGA应用开发 实验报告
姓 名 班 级 学 号
2016年12月 电气技术实践报告
i 目 录 一、实验目的........................................................................................................ 1 二、实验要求........................................................................................................ 1 三、实验内容........................................................................................................ 1 四、实验代码及实验结果.................................................................................... 1 1、4位二进制加法计数器 .......................................................................... 1 2、半加器 ..................................................................................................... 3 3、RS触发器................................................................................................. 3 4、数码管十六进制计数器 ......................................................................... 5 5、跑马灯 ..................................................................................................... 7 6、键盘电路 ................................................................................................. 9 7、LED点阵显示 ........................................................................................ 12 8、多人抢答器 ........................................................................................... 18 五、实验感想...................................................................................................... 21 电气技术实践FPGA报告
1 一、实验目的 1、熟悉使用可编程逻辑器件(Altera公司FPGA Cyclone系列EP1C6Q)。 2、熟悉使用硬件描述语言VHDL。 3、掌握FPGA集成环境(Altera公司FPGA QuartusII 9.0)开发流程。 4、熟悉使用核心目标系统板与接口电路等工作原理及其功能模块绑定信息。 5、熟悉并掌握下载线方式和下载文件的选择。
二、实验要求 1、学习并掌握文本、图形等输入和时序、功能仿真方法。 2、学习并熟悉门电路、组合电路、时序电路等单一模块功能。 3、学习并设计各种不同状态机逻辑功能。 4、学习并设计由单一模块→较多功能模块集成→系统集成方法。 5、学习并选择多种模式显示(发光二极管显示、米字型数码管显示、七段数码管→动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD液晶显示各种字符和图形或静止或移动等方式)。 6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。 7、实验数目没有要求,关键是看质量,是否是自已编写、调试、实现。
三、实验内容 1、按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。 2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。 3、在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功能并用多位七段数码管来显示。 4、用LED点阵显示任意字符、图形等信息。
四、实验代码及实验结果 1、4位二进制加法计数器 (1)实验代码 library ieee; use ieee.std_logic_1164.all; 电气技术实践FPGA报告 2 use ieee.std_logic_unsigned.all; entity erjinzhi is port(clk, rst:in std_logic; q:out std_logic_vector(3 downto 0)); end entity erjinzhi; architecture bhv of erjinzhi is signal q1:std_logic_vector(3 downto 0); begin process(rst,clk) begin if(rst='0')then q1<="0000"; elsif(clk'event and clk = '1')then q1<=q1+1; end if; end process; q<=q1; end architecture bhv;
(2)管脚分配
(3)实验操作 ① 输入信号clk时钟→把FPGA_EA2_P6(Pin_P20)用导线与(FRQ_Q21 1Hz)连接、rst清零→N18(SW-1)、输出信号q3→U12(LED1)、q2→V12(LED2);q1→V15(LED3);q0→W13(LED4)。 ② 把输入信号rst设为“1”、clk时钟(FRQ_Q21 1Hz) 用导线与(FRQ_Q21 1Hz)连接。 电气技术实践FPGA报告 3 (4)实验现象 输出结果信息为第一、二、三、四个发光二极管按照0000→0001→……→1111循环显示,符合实验要求。(该实验板上低电平为“1”)
2、半加器 (1)实验原理图
(2)管脚分配 (3)实验操作 逻辑分析:输入信号a、b;输出信号分别为sum(和)、carry(进位)。 逻辑方程:sum=a⊕b;carry=a * b。 输入信号a→N18(SW-1)、b→M20(SW-2)、输出信号sum(和)→U12(LED1)、carry(进位)→V12(LED2) (4)实验现象 ⅰ输入信号a、b都为“0”,输出结果信息为两个发光二极管均为“灭”,说明和和进位都为0;ⅱ输入信号a为“1”,b为“0”,输出结果信息为第一个发光二极管“灭”,第二个发光二极管“亮”,说明和为“1”,进位为0;ⅲ输入信号a为“0”,b为“1”,输出结果信息为第一个发光二极管“灭”,第二个发光二极管“亮” 。说明和为“1”,进位为“0”;ⅳ输入信号a、b都为“1”,输出结果信息为第一个发光二极管“亮” 第二个发光二极管“灭”,说明和为“0”,进位为“1”.均符合设计要求
3、RS触发器 (1)实验代码 电气技术实践FPGA报告 4 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity rs_clk is port( s,r,res :in std_logic; q,not_q:out std_logic); end rs_clk; architecture behav of rs_clk is signal sel1,sel2: std_logic; begin process(res,sel1,sel2) begin if res='0' then sel1<='0'; sel2<='1'; elsif (S='1' and R='0') then sel1<='1'; sel2<='0'; elsif (S='0' and R='1') then sel1<='0'; sel2<='1'; elsif (S='0' and R='0') then sel1<=sel1; sel2<=sel2; end if; Q<=sel1; not_q<=sel2; end process; end behav;
(2)管脚分配
(3)实验操作 (3)实验现象 将实验现象总结为RS触发器特性表,其中X指0或1均可;输入为”1”指SW输入为高,输入为”0”指SW输入为低;输出为”1”指对应LED灯灭(实验台的LED灯为高电平灭),输出为”0”指对应LED灯亮。
CLK触发 输入S 输入R 原来的Q 新的输出Q* 1 0 0 X 保持原状