Lattice的ISPlever使用教程

  • 格式:doc
  • 大小:60.50 KB
  • 文档页数:33

下载文档原格式

  / 33
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

[原创] Lattice的ISPlever使用教程

ispLEVER使用教程

目录

第一节 ispLEVER 简介

第二节 ispLEVER开发工具的原理图输入

第三节设计的编译与仿真

第四节硬件描述语言和原理图混合输入

第五节 ispLEVER工具中VHDL和Verilog语言的设计方法

第六节 ispVM System-在系统编程的软件平台

第七节约束条件编辑器(Constraint Editor)的使用方法

附录一 ispLEVER System上机实习题

附录二 ispLEVER软件中文件名后缀及其含义

第一节 ispLEVER 简介

ispLEVER 是Lattice 公司最新推出的一套EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图文件。软件中的Constraints Editor工具允许经由一个图形用户接口选择I/O设置和引脚分配。软件包含Synolicity公司的“Synplify”综合工具和Lattice的ispVM器件编程工具。ispLEVER软件提供给开发者一个

简单而有力的工具,用于设计所有Lattice可编程逻辑产品。软件支持所有Lattice公司的ispLSI 、MACH、ispGDX、ispGAL、GAL器件。ispLEVER 工具套件还支持Lattice新的ispXPGATM和ispXPLDTM产品系列,并集成了Lattice ORCA Foundry设计工具的特点和功能。这使得ispLEVER的用户能够设计新的ispXPGA和ispXPLD产品系列,ORCA FPGA/FPSC系列和所有Lattice的业界领先的CPLD产品而不必学习新的设计工具。

软件主要特征:

1. 输入方式

* 原理图输入

* ABEL-HDL输入

* VHDL输入

* Verilog-HDL输入

* 原理图和硬件描述语言混合输入

2. 逻辑模拟

* 功能模拟

* 时序模拟

3. 编译器

* 结构综合、映射、自动布局和布线

4. 支持的器件

* 含有支持ispLSI器件的宏库及MACH器件的宏库、TTL库

* 支持所有ispLSI、MACH、ispGDX、ispGAL、GAL、ORCA FPGA/FPSC、ispXPGA

和ispXPLD器件

5. Constraints Editor工具

* I/O参数设置和引脚分配

6. ispVM工具

* 对ISP器件进行编程

软件支持的计算机平台:

PC: Windows 98/NT/2000/XP

第二节 ispLEVER开发工具的原理图输入

I. 启动ispLEVER(按Start=>Programs=>Lattice

Semiconductor=>ispLEVER Project Navigator)

II. 创建一个新的设计项目

A. 选择菜单File。

B. 选择New Project...。

C. 在Create New Project对话框的Project Name栏中,键入项目名

d:\user\demo.syn。在Project type栏中选择Schematic/ABEL(ispLEVER 软件支持Schematic/ABEL、Schematic/VHDL、Schematic/Verilog等的混合设计输入,在此例中,仅有原理图输入,因此可选这三种中的任意一种)。

D. 你可以看到默认的项目名和器件型号: Untitled and

ispLSI5256VE-165LF256。

III. 项目命名

A. 用鼠标双击Untitled。

B. 在Title文本框中输入“Demo Project”,并选OK。

IV. 选择器件

A. 双击ispLSI5256VE-165LF256, 你会看到Device Selector对话框(如下图所示)。

B. 在Select Device窗口中选择ispMACH 4000项。

C. 按动器件目录中的滚动条,直到找到并选中器件LC4032V-10T44I。

D. 揿OK按钮,选择这个器件。

E. 在软件弹出的如下图显示的Confirm Change窗口中,按Yes按钮。

F. 因改选器件型号后,先前的约束条件可能对新器件无效,因此在软件接着弹出的如下图显示的ispLEVER Project Navigato窗口中,按Yes按钮,以用来去除原有的约束条件。

V. 在设计中增加源文件

一个设计项目由一个或多个源文件组成。这些源文件可以是原理图文件(*.sch)、ABEL HDL文件(*.abl)、VHDL设计文件(*.vhd)、Verilog HDL

设计文件(*.v)、测试向量文件(*.abv) 或者是文字文件(*.doc, *.wri, *.txt)。在以下操作步骤中,你要在设计项目中添加一张空白的原理图纸。

A. 从菜单上选择Source 项。

B. 选择New... 。

C. 在对话框中,选择Schematic(原理图),并按OK。

D. 输入文件名demo.sch。

E. 确认后揿OK。

VI. 原理图输入

你现在应该进入原理图编辑器。在下面的步骤中,你将要在原理图中画上几个元件符号,并用引线将它们相互连接起来。

A. 从菜单栏选择Add, 然后选择Symbol,你会看到如下图所示的对话框:

B. 选择GATES.LIB库,然后选择G_2AND元件符号。

C. 将鼠标移回到原理图纸上,注意此刻AND门粘连在你的光标上,并随之移动。

D. 单击鼠标左键,将符号放置在合适的位置。

E. 再在第一个AND门下面放置另外一个AND 门。

F. 将鼠标移回到元件库的对话框,并选择G_2OR元件。

G. 将OR门放置在两个AND门的右边。

H. 现在选择Add菜单中的Wire项。

I. 单击上面一个AND门的输出引脚,并开始画引线。