黄红涛-vhdl实验报告-实验1 8选1数据选择器

  • 格式:docx
  • 大小:1.78 MB
  • 文档页数:9

下载文档原格式

  / 9
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

学生实验报告

实验课名称:VHDL硬件描述语言

实验项目名称:8选1数据选择器

专业名称:电子科学与技术

班级:32050801

学号:3205080134

学生姓名:黄红涛

教师姓名:程鸿亮

_2010__年__11__月__6__日

组别_____________________ 同组同学_ 刘增辉

实验日期:2011 年11 月6 日实验室名称______ 成绩_____

一、实验名称:8选1数据选择器

二、实验目的与要求:

1.实验目的:

设计一个8选1的数据选择器,初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。

2.实验要求:

通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。

如图所示: d0~d7为数据输入端; g为使能端,高电平有效; a[2..0]为地址输端; y 为输出端。要求非使能或是无效地址状态时,y输出0。

首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口

配置下载程序到SOPC开发平台中。在硬件实现中,要求:

1.用实验平台的拨动开关实现8位输入信号(d0~d7):要求使用

最右面8个开关。

2. 用实验平台的按键实现地址信号和使能信号:注意采用模式0的输入方式,并使用最左边的键6~键8三个按键实现地址输入,以及键3实现使能信号。

3. 输出采用LED发光阵列的LED12。

三、实验内容:

1. 打开QuartusII软件,建立一个新的工程:

1) 单击菜单File\New Project Wizard…

2) 输入工程的路径、工程名以及顶层实体名。

3) 单击 Next> 按钮,由于我们建立的是一个空的项目,所以没有包含已有文件,单击 Next> 继续

4) 设置我们的器件信息:如,将package选择为FBGA,将family置为cydoneii,pincount 设置为484

5) 单击 Next> ,指定第三方工具,但是这里我们不指定第三方 EDA 工具,单击 Next> 后结束工程建立。

2.建立VHDl文件:

1) 单击 File\New菜单项,选择弹出窗口中的VHDL File 项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。

2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的层实体名相同。

3)编译工程

单击 Processing\Start Compilation 开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。

3. 建立矢量波形文件

1)单击 File\New 命令,在弹出的对话框中选择 Other Files 页面中的 Vector Waveform

File 项,打开矢量波形文件编辑窗口

2) 双击窗口左边空白区域,打开 Insert Node or Bus 对话框

3) 单击 Node Finder… 按钮,打开以下对话框,选择 Filter 下拉列表中的 Pins :

all ,并点击 List 按酒以列出所有的端口,通过 >> 按钮把这些端口加入到右面的窗

口中,单击 OK 完成端口的添加。

4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或

通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。

4. 进行功能仿真

1) 单击 Assignments\Settings… ,在弹出对话框中做以下设置:simulation mode

改为functional ,simulation input改为MUX8_1.vwf,单击ok.

2) 单击 Processing\Generate Functional Simulation Netlist 以获得功能仿真网络

表。

3) 单击 Processing\Start Simulation 进入仿真页面

5. 进行时序仿真

1) 单击 Assignments\Settings… ,在弹出对话框中做以下设置:Simulation mode 设

置为 Timing ,即时序仿真。指定仿真波形文件后单击 OK 完成设置。

2) 单击 Processing\Start Simulation 进入仿真页面,如果在时序上也没有问题,

就可以进入下载工作了。

6. 器件的下载

1) 指定器件引脚:单击 Assignments\Assignment Editor ,打开引脚分配编辑框:为

每一个端口指定器件的引脚,在引脚指定过程中需要参照开发系统所给的 I/O 端口映

射表,通过开发平台上每个 I/O 器件附近的 I/O 编号,在映射表中找到相应的引脚名,

填入上图所示的对话框即可。

2) 连接下载线:通过 USB-blaster 下载电缆连接 PC 机和开发平台,如果首次使用

下载电缆,此时操作系统会提示安装驱动程序,此 USB 设备的驱动处于QuartusII 安

装目录中的 \drivers\usb-blaster 中。

3) 单击Tool\Programmer打开下载窗口:通过对话框中的Hardware Setup 按钮,选择

下载设备:USB-Blaster,点击 Start 完成下载。

四、实验条件

1. WindowsXP操作系统

2. QuartusII EDA开发系统

3. 杭州康芯SOPC硬件开发平台