EDA技术考试试题B及详细答案
- 格式:docx
- 大小:20.32 KB
- 文档页数:5
eda考试试卷及答案EDA考试试卷及答案一、单项选择题(每题2分,共20分)1. EDA技术主要应用于以下哪个领域?A. 教育B. 电子设计自动化C. 医疗D. 交通答案:B2. 在EDA软件中,以下哪个不是设计输入的基本方法?A. 原理图输入B. 文本输入C. 手绘输入D. 硬件描述语言输入答案:C3. 以下哪个不是数字逻辑电路设计的基本步骤?A. 逻辑功能设计B. 电路原理图设计C. 电路仿真测试D. 机械结构设计答案:D4. 在EDA技术中,VHDL和Verilog HDL属于以下哪种类型的硬件描述语言?A. 行为级描述B. 结构级描述C. 数据流描述D. 寄存器传输级描述答案:A5. 在EDA设计中,以下哪个工具主要用于PCB布局和布线?A. 仿真软件B. 原理图编辑器C. 逻辑综合工具D. PCB设计软件答案:D6. 以下哪个不是EDA设计中的测试验证方法?A. 功能仿真B. 时序仿真C. 硬件仿真D. 软件仿真答案:D7. 在EDA设计中,以下哪个不是FPGA的配置方式?A. 在系统编程B. 串行配置C. 并行配置D. 网络配置答案:D8. 在EDA设计中,以下哪个不是可编程逻辑器件?A. PALB. PLAC. FPGAD. TTL集成电路答案:D9. 在EDA设计中,以下哪个不是逻辑优化的目标?A. 减少逻辑门数量B. 减少功耗C. 提高电路速度D. 增加电路复杂度答案:D10. 在EDA设计中,以下哪个不是PCB设计需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械强度答案:D二、多项选择题(每题3分,共15分)11. EDA技术可以应用于以下哪些领域?(多选)A. 通信B. 计算机C. 消费电子D. 工业控制答案:ABCD12. 在EDA设计中,以下哪些是常见的仿真工具?(多选)A. ModelSimB. Quartus IIC. ISED. MATLAB答案:AD13. 在EDA设计中,以下哪些是常见的FPGA品牌?(多选)A. XilinxB. AlteraD. Cypress答案:ABCD14. 在EDA设计中,以下哪些是PCB设计需要考虑的因素?(多选)A. 信号完整性B. 电源完整性C. 电磁兼容性D. 成本控制答案:ABCD15. 在EDA设计中,以下哪些是可编程逻辑器件?(多选)A. PALB. PLAC. CPLD答案:ABC三、判断题(每题1分,共10分)16. EDA技术可以提高电路设计的效率和准确性。
eda 考试试题及答案EDA 考试试题及答案一、单项选择题(每题2分,共20分)1. EDA(电子设计自动化)技术的核心是()。
A. 计算机辅助设计B. 计算机辅助制造C. 计算机辅助测试D. 计算机辅助工程2. 在EDA技术中,HDL(硬件描述语言)的主要作用是()。
A. 描述电路的物理结构B. 描述电路的逻辑功能C. 描述电路的测试过程D. 描述电路的制造工艺3. 以下哪个不是EDA软件的主要功能()。
A. 逻辑综合B. 布局布线C. 电路仿真D. 电路焊接4. 在数字电路设计中,以下哪个是同步电路的特点()。
A. 依赖于时钟信号B. 依赖于异步信号C. 不依赖于任何信号D. 依赖于电源信号5. 在EDA设计流程中,以下哪个步骤是用于验证电路设计的正确性()。
A. 逻辑综合B. 电路仿真C. 布局布线D. 物理验证6. FPGA(现场可编程门阵列)的主要优点是()。
A. 成本低廉B. 可重复编程C. 性能稳定D. 易于集成7. 在EDA设计中,以下哪个工具主要用于电路的时序分析()。
A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 时序分析工具8. 以下哪个不是EDA设计中的测试方法()。
A. 功能测试B. 时序测试C. 性能测试D. 压力测试9. 在EDA设计中,以下哪个步骤是用于优化电路性能()。
A. 逻辑综合B. 电路仿真C. 布局布线D. 物理验证10. 在EDA设计中,以下哪个是用于描述电路行为的HDL ()。
A. VHDLB. VerilogC. C语言D. Java二、多项选择题(每题3分,共15分)11. EDA技术可以应用于以下哪些领域()。
A. 集成电路设计B. 印刷电路板设计C. 软件工程D. 机械设计12. 在EDA设计流程中,以下哪些步骤是必要的()。
A. 电路设计B. 电路仿真C. 电路测试D. 电路封装13. 在数字电路设计中,以下哪些因素会影响电路的时序性能()。
EDA考试题目及答案一、单项选择题(每题2分,共10题)1. EDA技术中,用于描述数字电路的硬件描述语言是:A. VHDLB. VerilogC. C语言D. Python答案:A2. 在VHDL中,用于定义信号的关键字是:A. variableB. constantC. signalD. type答案:C3. 下列哪个不是Verilog中的测试平台(testbench)组件?A. initial块B. always块C. moduleD. function答案:D4. 在EDA设计中,用于模拟电路行为的软件工具是:A. 仿真器B. 编译器C. 综合器D. 布局器答案:A5. 以下哪个选项不是EDA工具的主要功能?A. 电路设计B. 电路仿真C. 电路测试D. 电路维修答案:D6. 在VHDL中,用于实现组合逻辑的构造块是:A. processB. if语句C. case语句D. all of the above答案:D7. Verilog中,用于描述时序逻辑的关键字是:A. alwaysB. initialC. moduleD. assign答案:A8. 在EDA设计流程中,电路综合通常发生在哪个阶段之后?A. 电路设计B. 电路仿真C. 电路测试D. 电路验证答案:B9. 下列哪个不是VHDL中的并发语句?A. ifB. caseC. loopD. procedure答案:D10. 在Verilog中,用于描述模块间连接的关键字是:A. inputB. outputC. wireD. module答案:C二、多项选择题(每题3分,共5题)1. EDA技术可以应用于以下哪些领域?A. 集成电路设计B. 软件工程C. 电子系统设计D. 机械工程答案:A, C2. VHDL中的哪些构造可以用来描述时序逻辑?A. processB. ifC. whileD. after答案:A, D3. 在Verilog中,哪些关键字用于定义模块的端口?A. inputB. outputC. inoutD. module答案:A, B, C4. EDA工具在设计流程中可以提供哪些辅助功能?A. 设计验证B. 设计优化C. 设计转换D. 设计维护答案:A, B, C5. 在EDA设计中,哪些因素会影响电路的性能?A. 电路复杂度B. 电源电压C. 温度变化D. 材料特性答案:A, B, C, D三、简答题(每题5分,共2题)1. 描述一下在EDA设计中,为什么需要进行电路仿真?答案:在EDA设计中,电路仿真是为了在实际制造电路之前,通过软件模拟电路的行为和性能。
eda技术及应用考试及答案一、单选题(每题2分,共20分)1. EDA技术的核心是()。
A. 电子设计自动化B. 电子制造自动化C. 电子测试自动化D. 电子组装自动化答案:A2. 在EDA技术中,HDL指的是()。
A. 高级设计语言B. 硬件描述语言C. 硬件描述逻辑D. 高级描述语言答案:B3. 以下哪个不是EDA软件的主要功能()。
A. 逻辑综合B. 电路仿真C. 布线D. 机械加工答案:D4. 在EDA技术中,FPGA指的是()。
A. 现场可编程门阵列B. 固定可编程门阵列C. 现场可配置逻辑阵列D. 固定可配置逻辑阵列答案:A5. 以下哪个不是EDA技术中常用的仿真工具()。
A. ModelSimB. QuartusC. MATLABD. ISE答案:C6. 在EDA技术中,ASIC指的是()。
A. 应用特定集成电路B. 应用通用集成电路C. 应用特定逻辑阵列D. 应用通用逻辑阵列答案:A7. 在EDA技术中,以下哪个不是硬件描述语言()。
A. VHDLB. VerilogC. C语言D. SystemVerilog答案:C8. 在EDA技术中,以下哪个不是逻辑综合的主要任务()。
A. 优化逻辑B. 布局C. 布线D. 时序分析答案:C9. 在EDA技术中,以下哪个不是FPGA的主要优点()。
A. 可重构性B. 可编程性C. 低成本D. 高性能答案:C10. 在EDA技术中,以下哪个不是ASIC的主要优点()。
A. 高性能B. 低成本C. 低功耗D. 高可靠性答案:B二、多选题(每题3分,共15分)11. EDA技术的主要应用领域包括()。
A. 通信B. 计算机C. 消费电子D. 军事答案:ABCD12. 在EDA技术中,以下哪些是硬件描述语言的特点()。
A. 层次性B. 并行性C. 抽象性D. 可读性答案:ABC13. 在EDA技术中,以下哪些是FPGA的主要缺点()。
A. 成本高B. 速度慢C. 功耗大D. 灵活性差答案:ABC14. 在EDA技术中,以下哪些是ASIC的主要缺点()。
eda考试试题及答案EDA考试试题及答案一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子文档自动化D. 电子设备自动化答案:A2. 在EDA软件中,用于描述数字电路行为的硬件描述语言是以下哪个?A. VHDLB. VerilogC. VHSICD. VHDL和Verilog答案:D3. 下列哪个不是EDA工具的主要功能?A. 电路仿真B. 逻辑综合C. 版图设计D. 电路测试答案:D4. 在VHDL中,用于定义信号的关键字是?A. variableB. signalC. constantD. type答案:B5. 在Verilog中,用于描述时序逻辑的关键字是?A. alwaysB. initialC. always_combD. always_ff答案:D6. 下列哪个不是EDA设计流程中的步骤?A. 电路设计B. 电路仿真C. 电路验证D. 电路制造答案:D7. 在EDA设计中,用于优化电路性能的步骤是?A. 逻辑综合B. 电路仿真C. 版图设计D. 电路验证答案:A8. 在VHDL中,用于实现条件语句的关键字是?A. ifB. caseC. whenD. both A and B答案:D9. 在Verilog中,用于实现并行赋值的语句是?A. assignB. alwaysC. initialD. both A and B答案:A10. 下列哪个是EDA设计中用于版图设计的软件?A. CadenceB. Xilinx ISEC. ModelSimD. both A and C答案:D二、多项选择题(每题3分,共15分)11. EDA技术在以下哪些领域有应用?A. 集成电路设计B. 电子系统设计C. 软件开发D. 机械设计答案:A, B12. 在EDA设计流程中,以下哪些步骤是必要的?A. 电路设计B. 电路仿真C. 电路验证D. 电路制造答案:A, B, C13. 在VHDL中,以下哪些关键字用于定义不同类型的数据?A. typeB. subtypeC. rangeD. signal答案:A, B, C14. 在Verilog中,以下哪些关键字用于描述时序逻辑?A. alwaysB. initialC. always_combD. always_ff答案:A, D15. EDA工具可以提供哪些功能?A. 电路仿真B. 逻辑综合C. 版图设计D. 电路测试答案:A, B, C, D三、简答题(每题5分,共20分)16. 请简述EDA技术的重要性。
eda考试题及答案一、选择题(每题2分,共10分)1. EDA技术中,以下哪个不是数字信号处理的步骤?A. 信号采集B. 信号放大C. 信号滤波D. 信号转换答案:D2. 在EDA中,以下哪个工具不是用于硬件描述语言的?A. VerilogB. VHDLC. MATLABD. SystemVerilog答案:C3. 以下哪个不是FPGA的配置方式?A. 主从模式B. JTAG模式C. 串行模式D. 并行模式答案:D4. 在EDA技术中,以下哪个不是逻辑门?A. 与门B. 或门C. 非门D. 异或门答案:D5. 以下哪个是EDA软件中用于时序分析的工具?A. 波形仿真B. 逻辑仿真C. 时序分析器D. 功能仿真答案:C二、填空题(每题2分,共10分)1. EDA技术的核心是______,它用于设计和验证电子系统。
答案:硬件描述语言2. 在EDA设计流程中,______是将硬件描述语言转换成逻辑电路图的过程。
答案:综合3. FPGA的全称是______,它是一种可编程的逻辑器件。
答案:现场可编程门阵列4. 在EDA中,______是一种用于模拟电路行为的工具,它可以帮助设计者验证电路设计的正确性。
答案:仿真5. 在EDA中,______是一种用于优化电路布局和布线的技术,以减少电路的延迟和功耗。
答案:布局布线三、简答题(每题10分,共20分)1. 简述EDA技术在现代电子设计中的重要性。
答案:EDA技术在现代电子设计中至关重要,因为它提供了一种高效、自动化的方式来设计、模拟和验证复杂的电子系统。
通过使用EDA工具,设计师可以快速迭代设计,减少错误,缩短产品上市时间,并提高电路的性能和可靠性。
2. 描述在EDA设计流程中,仿真测试的主要目的是什么。
答案:仿真测试的主要目的是在实际硬件实现之前验证电路设计的功能正确性和性能指标。
通过仿真,设计师可以检测和修复设计中的错误,优化电路性能,并预测电路在不同工作条件下的行为,从而确保最终产品能够满足设计规格和性能要求。
eda考试试题及答案EDA考试试题及答案一、选择题(每题2分,共20分)1. 在数字逻辑设计中,以下哪个逻辑门可以实现非功能?A. 与门B. 或门C. 异或门D. 非门答案:D2. 以下哪个工具不是用于EDA设计仿真的?A. ModelSimB. QuartusC. VivadoD. MATLAB答案:D3. 在FPGA设计中,以下哪个术语指的是用于存储数据的物理位置?A. 触发器B. 逻辑单元C. 寄存器D. 存储器块答案:D4. 以下哪个不是Verilog HDL的基本数据类型?A. regB. wireC. bitD. integer答案:C5. 在数字电路设计中,以下哪个术语指的是电路的输入?A. 输出B. 输入C. 反馈D. 负载答案:B6. 在EDA设计中,以下哪个步骤是用于验证设计的功能正确性?A. 综合B. 布局布线C. 仿真D. 时序分析答案:C7. 以下哪个是FPGA和ASIC的主要区别?A. FPGA是可编程的,ASIC是固定的B. FPGA比ASIC更便宜C. FPGA比ASIC更快D. FPGA和ASIC没有区别答案:A8. 在Verilog HDL中,以下哪个关键字用于定义一个始终块?A. moduleB. functionC. alwaysD. task答案:C9. 在数字电路设计中,以下哪个术语指的是电路的输出?A. 输入B. 输出C. 反馈D. 负载答案:B10. 在EDA设计中,以下哪个步骤是用于将设计映射到实际的硬件资源?A. 综合B. 布局布线C. 仿真D. 测试答案:B二、填空题(每题2分,共20分)1. 在数字逻辑设计中,一个D触发器的输出状态取决于其______输入。
答案:D2. 在Verilog HDL中,使用____关键字可以定义一个参数化模块。
答案:parameter3. FPGA中的查找表(LUT)可以用于实现____逻辑功能。
答案:组合4. 在数字电路设计中,____是指电路在没有输入变化的情况下保持稳定的时间。
eda考试及答案一、单项选择题(每题2分,共20分)1. EDA技术的主要应用领域不包括以下哪一项?A. 电子设计自动化B. 计算机辅助设计C. 计算机辅助制造D. 集成电路设计答案:C2. 在EDA技术中,HDL指的是什么?A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 电路仿真B. 电路优化C. 电路布局D. 电路测试答案:D4. 在EDA设计流程中,哪个步骤是用于验证电路设计的逻辑功能是否正确?A. 综合B. 布局C. 功能仿真D. 布线答案:C5. 以下哪个是用于描述数字电路行为的硬件描述语言?A. VHDLB. VerilogC. C语言D. Java答案:A6. 在EDA设计中,哪个步骤涉及到将高级硬件描述语言转换为门级网表?A. 综合B. 功能仿真C. 布局D. 布线答案:A7. 以下哪个不是EDA设计中的测试向量?A. 静态测试向量B. 动态测试向量C. 随机测试向量D. 人工测试向量答案:D8. 在EDA设计中,哪个步骤是用于优化电路的性能和面积?A. 综合B. 布局C. 布线D. 优化答案:D9. 以下哪个是EDA设计中的可编程逻辑器件?A. FPGAB. ASICC. CPLDD. 以上都是答案:D10. 在EDA设计中,哪个步骤涉及到将电路设计映射到实际的物理芯片上?A. 综合B. 布局C. 布线D. 封装答案:C二、多项选择题(每题3分,共15分)11. EDA技术可以应用于以下哪些领域?A. 通信系统设计B. 计算机系统设计C. 消费电子产品设计D. 汽车电子系统设计答案:ABCD12. 在EDA设计流程中,以下哪些步骤是必要的?A. 功能仿真B. 综合C. 布局D. 布线答案:ABCD13. 以下哪些是硬件描述语言的特点?A. 可读性强B. 可移植性好C. 可扩展性好D. 可维护性好答案:ABCD14. 在EDA设计中,以下哪些因素会影响电路的性能?A. 电路的逻辑复杂度B. 电路的布局C. 电路的布线D. 电路的优化答案:ABCD15. 以下哪些是可编程逻辑器件的优势?A. 可重构性B. 灵活性高C. 开发周期短D. 成本低答案:ABCD三、判断题(每题2分,共10分)16. EDA技术可以提高电路设计的效率和准确性。
试题2009年~ 2010年第二学期课程名称:EDA技术及应用专业年级:考生学号:考生姓名:试卷类型:A卷■ B卷□ 考试方式: 开卷□ 闭卷■………………………………………………………………………………………………………仅供参考,电信0901、0902班版权所有一、填空(20×1分=20分)1 SOPC 英文:System on a Programmable Chip和中文:片上可编程系统。
2 VHDL英文:Very-High-Speed Integrated Circuit Hardware Description Language 和中文:高速硬件描述语言。
3 FPGA英文:Field-Programmable Gate Array 和中文:现场可编程门阵列。
4 A为实数类型的变量,A:=16#0E.04#E+2; --A的值为3588.00。
5 元件例化的作用为当前的设计实体引入一个新的低一级的设计层次由元件定义语句和元件例化语句两部分组成6 VHDL源程序的文件名应与实体名相同,文件类型是(后缀名).VHD,否则无法通过编译。
7 VHDL的数据对象包括变量、信号和常数,它们是用来存放各种类型数据的容器。
8 VHDL允许定义两种不同类型的数组,即限定性数组和非限定性数组。
9 标准逻辑位有九种定义值‘X’表示强未知;‘1’表示强1;‘U’表示未初始化;‘W’表示弱未知;‘Z’表示高阻态。
10 一般硬件描述语言可以在三个层次上进行电路描述其层次由高到低依次可分为行为级,RTL级和门电路级。
二、选择题(10×2分=20分)1 结构体中的语句是B,进程中的语句是。
A 顺序的,并行的;B并行的,顺序的;C 顺序的,顺序的;D 并行的,并行的。
2 进程中的信号赋值语句,其信号更新是_C___。
A 按顺序完成;B 比变量更快完成;C 在进程的最后完成;D 都不对。
《EDA技术》第二学期期末试题B卷号:B 时间:120 分钟2008 年6 月专业:电子信息工程学号:姓名:一、填空题(20分,每题2分)当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的()语言成为了IEEE. STD_1076标准,并在全世界得到了承认。
载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。
零件封装是指()。
EDA技术也称(),是在( )技术的基础上发展起来的计算机软件系统。
目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是:(),( ),LATTIC 。
顺序描述语句中,()在MAX-PLUS中不被支持。
VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。
其中常数对应代表数字电路中的电源和接地等。
信号对应物理设计中的()。
FPGA可分为两大类,分别是SRAM-BASE和Anti-Fuse 设计时一般选用()。
100mil=()mm,7.62mm=( )mil。
PCB封装元件实际上就是()。
二、名词解释题(20分,每题4分)1 PLD/FPGA2.过孔3.铜膜线4 PROM、PAL和PLA5 自顶向下的/自下而上的设计方法三、选择题(15分,每题3分)1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是()PgUpTabSpace barEsc2.Design/Options菜单中下列选项不属于开关选项的是:()A.Snap GridB.Hidden PinsC.Electrical GridD.Title block3.下列不属于VHDL基本程序结构是()A..CONFIGURATION定义区B..ARCHITECTURE定义区C.USE定义区D.ENTITY定义区4.下列关于VHDL中信号说法不正确的是:()A.信号赋值可以有延迟时间,B.信号除当前值外还有许多相关值,如历史信息等,变量只有当前值C.信号可以是多个进程的全局信号D.号值输入信号时采用代入符“:=”,而不是赋值符”<=”,同时信号可以附加延时。
5.下列各表达式不正确的是:()A“1011”SLL=“0110”B Singal a: bit_vector(7 downto 0);a<=”10110110”;则a(0)=’0’C (-5)rem 2=(-1)D 5 mod(-2)=(-1)四、简答题(12分,每题6分)1 原理图设计步骤2过程调用语句可以并发执行,但要注意那些问题五论述题(13分)MAX+PLUSII软件设计流程六.VHDL语言编程题(20分)(1)VHDL语言编写2输入或非门(5分)(2)VHDL语言编写半加器(6分)(3)VHDL语言编写十二进制同步计数器(9分)引脚定义:reset 复位en 计数控制clk 时钟qa,qb,qc,qd 计数器输出《EDA技术》第二学期期末试题B答案一填空题(20分)VHDLDEVICE.LIB SYMBOLS.LIB实际零件焊接到电路板时所指示的外观和焊点的位置电子设计自动化电子CAD技术ALTERA,XILINXWAIT电路连接SRAM-BASE2.54mm 300mil元件外观和元件引线端子的图形二名词解释(20分)1 PLD/FPGAPLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。
2.过孔当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔又称为贯孔、沉铜孔和金属化孔。
过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried)3.铜膜线就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不同层面取不同的走向,例如顶层走水平线,则底层走垂直线。
顶层和底层走线之间的连接采用过孔(Via)连接。
4 PROM、PAL和PLAPROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。
但输入的数目太大时,器件功耗增加,其局限性大。
PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。
PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。
5 自顶向下的/自下而上的设计方法自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。
第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合三选择题(12分)1.A 2.D 3.A 4.D 5.B四简答题(6+6=12分)1原理图设计步骤(6分)⑴设置原理图设计环境1分⑵放置元件1分⑶原理图布线1分⑷编辑与调整1分⑸检查原理图1分⑹生成网络表1分2过程调用语句可以并发执行,但要注意那些问题:(6分)并发过程调用是一个完整的语句,在它之前可以加标号2分并发过程调用语句应带有IN,OUT或INOUT的参数,他们应该列在过程名后的括号内2分并发过程调用可以有多个返回值2分五论述题MAX+PLUSII软件设计流程(13分)输入项目文件名(File/Project/Name)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)指定CPLD型号(Assign/Device)设置管脚、下载方式和逻辑综合的方式,若上一步用的是AUTO则不需设置管脚(Assign/Global Project Device Option,Assign/Global Logic Synthesis)保存并检查源文件(File/project/Save & Check)文件名与实体名一致。
指定管脚(Max+plusⅡ/Floorplan Editor)保存和编译源文件(File/project/Save & Compile)生成波形文件(Max+plusⅡ/Waveform Editor)仿真(Max+plusⅡ/Simulator)下载配置(Max+plusⅡ/Programmer)六.VHDL语言编程(6+6+10=22分)(1)2输入或非门LIBRARY ieee;use ieee.std_logic_1164.all;entity nor2 isport(a,b: in std_logic;y: out std_logic);end nor2;architecture nor_behave of nor2 isbeginy<=a nor b;end nor_behave;(2)半加器LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY half ISPORT (a, b : IN std_LOGIC;s,co : OUT std_LOGIC); END half;ARCHITECTURE half1 OF half ISsignal c,d :std_logic;BEGINc<=a or b;d<=a nand b;co<=not d;s<=c and d;end half1;(3)十二进制同步计数器引脚定义:reset 复位en 计数控制clk 时钟qa,qb,qc,qd 计数器输出LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count12 isport(clk,reset,en: in std_logic;qa,qb,qc,qd: out std_logic);end count12;architecture behave of count12 issignal count_4: std_logic_vector(3 downto 0); beginqa<=count_4(0);qb<=count_4(1);qc<=count_4(2);qd<=count_4(3);process(clk,reset)beginif (reset='0') thencount_4<="0000";elsif(clk'event and clk='1') thenif(en='1') thenif(count_4="1011") thencount_4<="0000";elsecount_4<=count_4+'1';end if;end if;end if;end process;end behave;。