multisim使用中的问题及解决方法
- 格式:docx
- 大小:37.04 KB
- 文档页数:2
1.常见错误:Failed to find INSTANCE ...,问题出在下面所示的第2步或第4步。
正确处理步骤:(1)Quartus中编译测试码欲调用的顶层模块(设模块名:xxx),设置EDA tool 的simulation 工具,如verilog ,以产生xxx.vo文件及xxx_v.sdo延时文件;(2)打开modelsim,新建工程,添加xxx.vo文件、测试用激励波形文件testbench.v、所用器件的网表文件(以cyclone为例:$Quartus\eda\sim_lib\cyclone_atoms.v)。
全部编译。
!!:确认testbench.v中不包含顶层模块xxx代码。
(3)xxx_v.sdo复制到modelsim工程目录。
(4)最后,建立仿真配置文件:在workspace的project页中右键单击,选simulation configuration,如下图于是,workspace中得到simulation 1。
按下图设置:注意,上面的框中添加xxx_v.sdo,下面的文本框中设置作用域,格式为:\testbench模块名\xxx的实例名。
(5)`timescale 影响仿真结果显示效果。
=================例===================[1]//文件count4.vmodule count4(out,reset,clk);output[3:0] out;input reset, clk;reg[3:0] out;always @(posedge clk)beginif (reset)out <= 0;elseout <= out + 1;endendmodule[2]//测试文件count_tp.v`timescale 1ns/1nsmodule count_tp;reg clk,reset;wire[3:0] out;parameter DELY = 100;count4 mycount(out,reset,clk);always #(DELY/2) clk = ~clk;initialbeginclk = 0; reset = 0;#DELY reset = 1;#DELY reset = 0;#(DELY*20) $finish;endinitial $monitor($time,,,"clk=%d reset=%d out=%d",clk,reset,out); endmodule[3]所用器件:cyclone[4]结果:明显产生了时延p.s.-----------------------网上有朋友指出勾选SDF中的两个选项,将原来的警告禁止,将原来的错误变为警告, 可以成功地进行反标注并运行仿真,但这样做并没有解决实际问题,只能产生功能仿真,没有得到带时延的时序仿真2.** Error: D:/ModelSim_6.5/ModelSimnear "'t": Illegal base specifier in numeric constant.syntax error, unexpected "BASE", expecting "class"错误原因:不是’timescale 而是`timescale,那个是键盘左上角的点,不是一撇。
multisim12使用技巧一、常用的仪器1.万用表2.信号发生器Duty Cycle(占空比):用来设置三角波和方波的占空比;对正弦波不起作用。
Amplitude(幅度):用来设置输出波形的峰-峰值,范围1mV-99KV3.双通示波器分为:Timebase(时基)、通道(Channel A/Channel B)、触发(Trigger)Timebase(时基):用来设置X轴的时间基准扫描时间。
Scale:用来设置X轴方向每一大格所表示的时间。
(例如:一个周期为1KHZ的信号,扫描时基参数应设置在1ms 左右)X pos.:表示X轴方向时间基准位置。
Channel A(B)区:用来设置通道输入信号在Y轴的显示刻度。
Scale:用来设置Y轴的刻度。
Y position:用来设置Y轴的显示基准。
(DC:输入直流耦合方式,实时显示信号的实际大小。
AC:输入交流耦合方式,仅显示输入信号的交流成分)Trigger控制区:用来设置示波器的触发方式。
Edge(边缘):表示将输入信号的上升沿或下降沿作为触发信号。
Level(水平):用于选择触发电平的大小。
sing:单脉冲Nor:一般脉冲auto: 自动触发Ext Trig:外触发4.4通道示波器5.功率表(Wattmeter(瓦特表))可以测量功率因数,即通过计算电压与电流相位差的余弦而得到的。
6.伏安特性分析仪主要用于测量单个晶体管的伏安特性曲线,可测的晶体管包括二极管(Diode)、双极性晶体管(PNP/NPN)场效应管(NMOS/PMOS)。
类似于晶体管特性测试仪。
注意:用伏安特性分析仪测量晶体管时,不能连接在电路中,只能单个测量。
Current Ranger(A)区(Y轴):用于改变图形显示区的电流显示范围。
F区:用来设置Y轴电流终止值及其单位。
I区:用来设置Y轴电流初始值及其单位。
Log/Lin(Y轴对数刻度坐标/Y轴等刻度坐标)Voltage Range(V)(X轴):用于改变图形显示区的电压显示范围7.失真度分析仪(Distortion Analyzer)能够对频率在20HZ-100KHZ范围内的信号失真度进行测量,包括音频信号。
巧用Multisim9解决时序逻辑电路难题
崔红
【期刊名称】《职业圈》
【年(卷),期】2007(000)017
【摘要】文章介绍了Multisim9仿真软件在数字电子技术中时序逻辑电路中的应用,从时序逻辑电路分析、计数器、寄存器等方面介绍了Multisim9仿真软件的优点,提出了Multisim9仿真软件的使用方法.
【总页数】2页(P164-165)
【作者】崔红
【作者单位】陕西航空职业技术学院电子工程系,陕西,汉中,723102
【正文语种】中文
【中图分类】TP331
【相关文献】
1.巧用Multisim9解决时序逻辑电路难题 [J], 崔红
2.巧用数学知识解决遗传计算难题--组合、数列、集合、概率在解决遗传难题中的应用 [J], 易兴无
3.巧用\"降维\"思想解决物理立体图难题 [J], 钱启明
4.巧用构造函数法解决高考多变量难题 [J], 许巧云
5.巧用构造法,解决高中数学难题 [J], 叶红君
因版权原因,仅展示原文概要,查看原文内容请购买。
Multi sim原理图输入,仿真与可编程逻辑入门指导前言祝贺您选择了Multisim。
我们有信心将数年来增加的超级设计功能交付给您。
Electronics Worbench是世界领先的电路设计工具供应商,我们的用户比其它任何的EDA开发商的用户都多。
所以我们相信,您将对Multisim以及您可能选择的任何其它的Electronics Workbench产品所带来的价值感到满意。
文件惯例当涉及到工具按钮时,相应的工具按钮出现在文字的左边。
虽然multisim的电路显示模式是彩色的,但本手册中以黑白模式显示电路。
(您可以将此定制成您喜好的设置)当您看到这样的图标时,所描述的功能只有特定的版本才有。
用户可以购买相应的附加模块。
Multisim 用Menu/Item表示菜单命令。
例如,File/Open表示在File菜单中选择Open命令。
本手册用箭头(➢)表示程序信息。
Multisim文件系列Multisim文件包括“Multisim入门指导”、“User Guide”和在线帮助。
所有的用户都会收到这两本手册的PDF版本。
用户还会收到所购买Multisim版本的印刷版手册。
入门指导“入门指导”向您介绍Multisim界面,并指导您学习电路设计(circuit)、仿真(similation)、分析(analysis)和报告(reporting)。
User Guide“User Guide”详细介绍了Multisim的各项功能,它是基于电路设计层次进行组织的,详细地描述了Multisim的各个方面。
在线帮助Multisim提供在线帮助文件系统以支持您使用,选择Help/Multisim Manua l可显示详细描述Multisim程序的文件,或者选择Help/Multisim Help显示包含参考资料(来自于印刷版的附录)的帮助文件,比如对Multisim所提供元器件的详细介绍。
所有的帮助文件窗口都是标准窗口,并提供内容列表与索引。
第12卷第2期沙洲职业工学院学报V ol. 12, No.2 2009年6月Journal of Shazhou Professional Institute of Technology June, 2009 使用Multisim进行电子电路故障诊断钱月花(沙洲职业工学院,江苏张家港 215600摘要:探讨采用仿真软件手段进行电子电路故障诊断的新途径,通过对使用Multisim进行电子电路故障诊断的具体方法的详细介绍,说明了该途径行之有效。
关键词:Multisim;电子电路;故障诊断中图分类号:TN702 文献标识码:A 文章编号:1009-8429(200902-0024-04Diagnosing the Fault of Electronic Circuit with the MultisimQIAN Yue-hua( Shazhou Professional Institute of Technology, Zhangjiagang 215600, ChinaAbstract: With a detailed introduction to Multisim, the paper discusses how Multisim can be applied to diagnosing the fault of electronic circuit, which proves to be an effective way.Key words: Multisim; electronic circuit; diagnosing the fault of electronic circuit0 引言电子电路故障诊断及维修技术是从事电子电路生产与维修的工程技术人员必备的专业技术,刚刚进入电子行业的技术人员和大中专院校的学生,面对复杂的电路维修,往往显得束手无策,所以探索一种行之有效的电子电路故障诊断的新途径显得极其重要。
Multisim仿真软件的使⽤第⼋章Multisim仿真软件的使⽤——50页(王建波、杨笔锋)光盘资料引⾔8.1Multisim仿真软件的基本简介8.1.1基本软件界⾯与菜单说明8.1.2基本元件库8.1.3基本仪器库8.2功能仿真8.2.1仿真电路的设计8.2.2静态参数仿真测试8.2.3动态参数的仿真测试(交流、时域、频域等)⼩节习题与项⽬(包括两部分:基本习题和电路设计)题⽬8-1 电⼦系统设计与仿真(项⽬待定)Multisim8软件介绍与使⽤Multisim是⼀个完整的设计⼯具系统,提供了⼀个⾮常⼤的元件数据库,并提供原理图输⼊接⼝、全部的数模Spice仿真功能、VHDL/Verilog设计接⼝与仿真功能、FPGA/CPLD 综合、RF设计能⼒和后处理功能,还可以进⾏从原理图到PCB布线⼯具包(如:Electronics Worbench的Ultiboard)的⽆缝隙数据传输。
它提供的单⼀易⽤的图形输⼊接⼝可以满⾜您的设计需求。
Multisim提供全部先进的设计功能,满⾜从参数到产品的设计要求。
因为程序将原理图输⼊、仿真和可编程逻辑紧密集成,您可以放⼼地进⾏设计⼯作,不必顾及不同供应商的应⽤程序之间传递数据时经常出现的问题。
6.1.1 Multisim 8基本界⾯启动Windows“开始”菜单“所有程序”中的Electronics Workbench/Multisim 8,打开Multisim 8的基本界⾯如图6.1.1所⽰。
Multisim 8的基本界⾯主要由菜单栏、系统⼯具栏、快捷键栏、元件⼯具栏、仪表⼯具栏、连接Edaparts. com按钮、电路窗⼝、使⽤中的元件列表、仿真开关(Simulate)和状态栏等项组成。
1. 菜单栏与所有Windows 应⽤程序类似,菜单中提供了软件中⼏乎所有的功能命令。
Multisim 8菜单栏包含着11 个主菜单,如图6.1.1 所⽰,从左⾄右分别是File(⽂件菜单)、Edit(编辑菜单)、View(窗⼝显⽰菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(⽂件输出菜单)、Tools(⼯具菜单)、Reports(报告菜单)、Options(选项菜单)、Window(窗⼝菜单)和Help(帮助菜单)等。
Multisim 软件使用Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。
第一节Multisim概貌软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。
一、Multisim的主窗口界面。
启动Multisim 2001后,将出现如图1所示的界面。
界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。
通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。
用户可以通过菜单或工具栏改变主窗口的视图内容。
二、菜单栏菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。
不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。
此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。
1. FileFile菜单中包含了对文件和项目的基本操作以及打印等命令。
Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。
3.View通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。
4.Place通过Place命令输入电路图。
5.Simulate通过Simulate菜单执行仿真分析命令。
6.Transfer菜单Transfer菜单提供的命令可以完成Multisim对其它EDA软件需要的文件格式的输出。
multisim使用中的问题及解决方法
在使用Multisim过程中,可能会遇到一些常见的问题。
本文将介绍其中一些问题,并提供相应的解决方法。
1. 电路仿真出现错误消息
如果在进行电路仿真时,出现错误消息,有几个可能的原因和解决方法。
首先,请确认所使用的元件和连接是正确的。
检查电路中是否存在缺失的连接或者错误的元件。
其次,确认元件的参数设置是否正确,包括电阻、电容和电感等值。
最后,检查仿真设置是否正确,例如仿真时间、步长等参数。
2. 电路仿真速度较慢
在进行复杂电路的仿真时,可能会遇到仿真速度较慢的情况。
这主要是由于电
路的复杂度和计算机性能不匹配所导致的。
为了解决这个问题,可以尝试以下方法:关闭一些不必要的仿真器件或模块,减少仿真的计算量;调整仿真器件的精度和采样参数,适当降低精度和采样率;使用高性能的计算机进行仿真。
3. 错误的元件符号或元件库缺失
在Multisim中,可能会出现错误的元件符号或者缺失的元件库的情况。
解决方法是下载并安装最新的Multisim元件库或者更新已有的元件库。
在National Instruments的官方网站上可以找到最新的元件库版本,并进行安装。
4. 电路图无法编译或加载
如果在打开或加载电路图时遇到问题,可能是由于文件损坏或不兼容所导致。
可以尝试将电路图保存为不同的文件格式,并尝试重新加载。
如果问题依然存在,可以尝试修复Multisim安装程序或重新安装Multisim软件。
5. 无法找到所需元件
在使用Multisim时,有时可能无法找到所需的元件。
这可能是由于元件库未正确加载或者元件库中缺少相应的元件所致。
解决方法是检查Multisim的元件库设置,并确保所需的元件库已正确加载。
如果找不到特定的元件,可以尝试在官方网站上搜索相关元件并下载安装。
通过了解这些常见问题和解决方法,您将能更好地应对在使用Multisim时可能遇到的挑战。
祝您在Multisim中的电路设计和仿真工作中取得成功!。