EDA数码管的显示整个流程实验报告

  • 格式:docx
  • 大小:1.29 MB
  • 文档页数:17

下载文档原格式

  / 17
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA 第一次实验报告实验目的:

利用QuartusⅡ软件,采用自上而下或自下而上的模块化层次

设计数码管扫描显示电路,通过仿真波形及硬件实验平台验证

设计,从而熟悉QuartusⅡ的数字系统设计流程以及FPGA开

发模式。

一、实验设计方案

1.原理说明:

几个数码管在同一时间进行显示利用人眼的视觉暂留效应,

把多个数码管按一定顺序(从左至右或从右至左)循环进

行点亮,当点亮的频率足够高时,我们可以看到全部同时

显示(点亮)。因此,我们只要给数码管驱动电路一个足

够高的扫描工作频率,就可以实现几个数码管同时点亮。

而用来产生这个扫描频率的驱动电路,可以通过BCD七段

译码器的输入数据切换电路,通过计数器的输出来控制几

个多路数据选择器电路的实现,只要计数频率足够高,就

可以实现我们的要求。

2.结构框图:

其中,3选1多路选择器以及2-3译码器的输入信号是由模4计数

器统一输出控制,以达到数码管的位选以及段选同时变化的效果。

二、实验流程:

1、模4计数器设计并进行仿真,下载到电路板上测试

2、3选1多路选择器设计

3、2-3译码器设计并进行仿真

4、综合利用模4计数器,3选1多路选择器以及2-3译码

器完成数码管扫描显示电路的设计并进行仿真,下载到

电路板上测试

模4计数器与2-3译码器大同小异。所以,我就以2-3译码器为例简单的讲述一下演示工程的创建过程。

3选1多路选择器:

1)打开Quartus,创建工程,点击File->New Project Wizard

注意工程文件名一定要和顶层实体名一致,否则到后面编译的时候就有可能会报错并且还很难找出错误原因。

2)点击Next,下一步是添加工程所需子模块设计源文件及设置用

户库,我们目前不需要,直接单击Next。

3)选择目标器件

我在实验室里截了图,其实可以看出,上面器件的选取和电板上的芯片型号相同。因此,一定要根据实际情况对器件进行选取,否则,仿真时就会出现问题。

4)点击Next,是用户根据需求确定工程所用的第三方综合、仿真、

时序分析工具。本工程默认None,直接点击Next。

5)工程信息的确认

核实工程信息设置,如果需要修改则可单击black返回相关页面重新设置。再单击Finish结束工程创建。

6)创建设计文件:选择File->New,弹出如图所示对话框,选择

Block Diagram/Schematic File选项,弹出空白图形编辑器窗口。

7)元件的放置,命名及连接

在空白处双击鼠标左键,弹出如图对话框,

可以直接在Name里面输入要找的器件(这样做会比较快一些),也可以一个一个打开查找。然后单击OK或者键盘Enter,放置

元器件。右击选中器件并在快捷菜单中选择Properties命令,

在Name栏中输入器件名。

8)连好的元件电路如图所示

然后保存文件,选择File->save或者ctrl+s,弹出对话框,默认保存工程文件名为decoder2_3.bdf

9)编译

选择菜单Processing->Compiler Tool命令,然后单击Start进行全编译。

10)仿真验证

1.建立波形文件:选择File->New,弹出的对话框中选择

Vector Waveform File。

2.添加节点:选择菜单Edit->Insert->Insert Node or Bus,

弹出对话框,单击Node Finder,弹出如图所示对话框。

然后按照如图示进行选择编辑。

3.参数设置:有两个重要的参数需要设置End Time和Grid

Size。

①Edit->End Time弹出结束时间对话框如图,设置Time

时间为2微秒。

②Edit->Grid Size,弹出网格大小设置框,Period设置

为100纳秒。

4.输入信号激励:选中节点clk,使其变为蓝色高亮,然后

选择左侧按钮,弹出时钟设置。总体设置如图所示

5.保存波形文件:File->Save,弹出Save as,保存为默认

decoder2_3.vwf,并选中Add to current project。

6.进行功能仿真以及时序仿真:

功能仿真:选择Processing->Generate Fuctional

Simulation Netlist产生功能仿真网表。选择

Assignments->Setting,做如图设置:

然后File->Start Simulation启动功能仿真。

功能仿真结果:

data输入为00时,译出的seg为100,data输入为01时,译出的seg为010,其他情况为001.

时序仿真只需要Assignments->Setting,将Simulation

mode改为Timing然后再重复上述步骤就行了。

时序仿真结果:

7.接下来进行管脚分配:Assignments->Pin中分配管脚,具

体如图所示:

注意:input需要输入的是时钟信号,所以管脚的分配要

对照你的电板进行分配。并且分配完之后还要再进行一次

全编译。

8.最后是将你所做的下载到电板上:Tools->Programming,进

入下载界面,单击Hardware Setup,弹出电缆配置对话框,