当前位置:文档之家› 计算机组成原理实验1~4

计算机组成原理实验1~4

实验一寄存器实验

一、实验目的

1、了解CPTH模型机中寄存器的结构、工作原理及其控制方法。

2、熟悉CPTH实验仪的基本构造及操作方法。

二、实验电路

寄存器的作用是用于保存数据的,因为CPTH模型机是8位的,因此模型机中大部寄存器是8 位的,标志位寄存器(Cy, Z)是二位的。

CPTH 用74HC574 (8-D触发器)来构成寄存器。74HC574 的功能如表1-1所示:

图1-1 74HC574的引脚图

1. 在CLK的上升沿将输入端的数据打入到8 个触发器中

2. 当OC = 1 时触发器的输出被关闭,当OC=0 时触发器的输出数据

表1-1 74HC574功能表

图1-2 74HC574工作波形图

三、实验内容

(一)proteus仿真平台

1、proteus仿真平台简介

Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件。它不仅具有其它EDA 工具软件的仿真功能,还能仿真单片机及外围器件。它的主界面如图1-3所示:

图1-3 proteus仿真平台主界面

2、在proteus平台上运行电路:寄存器_1.DSN。拨动开关,观察灯的亮灭,回答思考题1。

思考题1:先使OC=1,拨D0~D7=00110011,按下CK提供CLK上升沿;再拨D0~D7=01000100,OC=0,此时Q0~Q7为多少?

3、CPTH模型机上,寄存器A的电路组成如图1-4所示。在proteus平台上运行电路:寄存器_2.DSN,回答思考题2。

图1-4 寄存器A原理图

思考题2:数据从D端传送到Q端,相应的控制端如何设置?

3、CPTH模型机上,寄存器组R0~R3的电路组成如图1-5所示。在proteus平台上运行电路:寄存器_3.DSN,回答思考题3。

图1-5 寄存器组R0~R3 原理图

74LS139是2-4线译码器,由A、B两个输入端选择控制4个输出端Y0~Y3,使能端E 低电平有效,允许译码输出。74HC32是或门,两个输入端同时为低电平,输出为低电平。具体的控制方式见表1-2。

选择端控制端

功能描述

SB SA RRD RWR CK

0 0

0 1 * Y0为0,选中R0,内部触发器数据输出(读出)

0 1 Y1为0,选中R1,数据输出

1 0 Y2为0,选中R2,数据输出1 1 Y3为0,选中R3,数据输出

0 0

1 0 ↑Y0为0,选中R0,数据写入内部触发器(写入)

0 1 Y1为0,选中R1,数据写入

1 0 Y2为0,选中R2,数据写入

1 1 Y3为0,选中R3,数据写入

R1的值,又如何操作?

(二)CPTH组成原理实验平台

1、在CPTH实验平台上,给寄存器A、W赋值。具体实验连线见表1-3。

表1-3 寄存器A、W实验连线表

系统清零和手动状态设定:K23-K16开关置零,按[RST]钮;按键盘上的[TV/ME]键三次,液晶屏上显示"Hand......",进入手动状态。

(在后面实验中实验模式为手动的操作方法不再详述)

(1) 将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H。

WEN接K4、AEN接K3,置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。(注意观察:数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。)

思考题4:如果将66H同时写入寄存器A、W,应如何设置控制信号?

2、在CPTH实验平台上,对寄存器R0,R1,R2,R3 进行读写操作。具体的实验连线见表1-4。

表1-4 寄存器组R0~R3实验连线表

(1) 将11H写入R0寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据11H;

置控制信号为:

按住STEP 脉冲键,CK 由高变低,这时寄存器R0 的黄色选择指示灯亮,表明选择R0 寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据11H 被写入R0 寄存器。

(2) 将22H写入R1寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H;

置控制信号为:

按住STEP 脉冲键,CK 由高变低,这时寄存器R1 的黄色选择指示灯亮,表明选择R1 寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据22H被写入R1 寄存器。

(3) 读R0寄存器

置控制信号为:

这时寄存器R0 的红色输出指示灯亮,R0 寄存器的数据送上数据总线。此时数据总线指示灯L7... L0为:00010001,将K11(RRD)置为1,关闭R0 寄存器输出。

(4)读R1寄存器

置控制信号为:

这时寄存器R1 的红色输出指示灯亮,R1 寄存器的数据送上数据总线。此时数据总线指示灯L7... L0为:00100010. 将K11(RRD)置为1,关闭R1 寄存器输出。

(注意观察:数据在K11(RRD)为0 时输出,不需要上升沿触发,与数据打入不同。)

思考题5:从开关输入的数据经寄存器R0传送到数据总线的过程中,有哪些控制信号及相应的取值是什么?

四、实验报告

1、简述CPTH实验平台上,如何对寄存器A、W的进行读写。

实验二运算器及输出实验

一、实验目的

1、了解运算器ALU的组成及控制方法。

2、CPTH实验箱中数据输出到数据总线的选择控制。

二、实验内容

(一)proteus仿真平台

1、运算器ALU组成电路

图2-1中的运算器ALU由两片74LS181(4位运算功能发生器)构成。其中74LS181(1)做低4位算术逻辑运算,74LS181(2)做高4位算术逻辑运算,74LS181(1)的进位输出信号Cn+4与74LS181(2)的进位输入信号Cn相连,两片74LS181的控制信号S3~S0、M分别相连。

两片4位的74LS181构成了8位字长的ALU;两片8位数据锁存器74LS273作为工作寄存器A和B,用于暂存参与运算的数据;参与运算的数据由数据开关通过三态门74LS245送入工作寄存器,ALU的运算结果也通过三态门74LS245发送到数据显示灯上。

74LS245是8路同相三态双向总线收发器,可双向传输数据。使能端CE=0、且AB=1时,数据从A→B;使能端CE=0、且AB=0时,数据从B→A;CE=1时,AB两端为高阻状态。

74LS273是带清除功能的8D触发器,做为8位的数据锁存器。MR=0时,输出全为0(清零);MR=1,且CLK上升沿来时,Q=D。

图2-1 运算器ALU的组成电路

参与运算的数据由SW7~SW0二进制开关来设置,当SW-BUS=0时,数据通过三态门74LS245输出到数据锁存器A和B。在CK1的上升沿将数据打入A寄存器,同时送至74LS181的A输入口;在CK2的上升沿将数据打入B寄存器,并送至74LS181的B输入口。

在proteus平台,运行电路:运算器电路.DSN。验证74LS181的算术运算和逻辑运算功能。观察输出信号灯的亮灭,填写表2-1,并和理论值进行比较、验证。

(给定A=65H、B=97H,要求将输出结果转换成十六进制的数填入表2-1中)

具体操作步骤:

1)运行电路;

2)设置SW7~SW0开关为65H=01100101B;

3)设置SW-BUS=0,打开数据输入端的三态门;

4)按下CK1按钮,提供一个脉冲信号的上升沿,数据打入寄存器A;

5)设置SW7~SW0开关为97H=10010111B;

6)按下CK2按钮,提供一个脉冲信号的上升沿,数据打入寄存器B;

7)设置Cn=1,ALU无进位输入;

8)设置ALU-BUS=0,打开ALU输出端的三态门;

9)将S3~S0=0000、M=0,输出指示灯应显示A中的数据01100101B;

10)将S3~S0=1010、M=1,输出指示灯应显示B中的数据10010111B;

11)改变ALU的功能模式,观察的输出(二进制数),转换成十六进制数填入表2-1中。

表2-1 74LS181运算器功能验证表

注意:AB表示A、B的逻辑与运算;A’表示A的逻辑非运算;A+B表示A、B的逻辑或运算;A B表示逻辑异或运算。

(二)CPTH组成原理实验平台

1、数据的运算

CPTH 中的运算器由一片CPLD实现(可编程器件),有8 种运算,通过S2,S1,S0 来选择,运算数据由寄存器A及寄存器W 给出,运算结果输出到直通门D。

表2-2 CPTH的运算功能选择控制

表2-3 CPTH运算器的实验连接线表

(1)将55H写入A寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H;

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。(2)将33H写入W寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H;

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W

(3)A=55H、W=33H,设置下表的控制信号,将下表填写完整,检验运算器运算结果。

表2-4 A=55H、W=33H时的各种运算结果

K5(Cy IN)K2(S2)K1(S1)K0(S0)结果(直通门D)注释* 0 0 0 88H 加运算

* 0 0 1

* 0 1 0

* 0 1 1

* 1 1 0

* 1 1 1

0 带进位加运算

1 带进位加运算

0 带进位减运算

1 带进位减运算

注意:

运算器在加上控制信号及数据(A,W)后,立刻给出结果,不须时钟。

2、数据输出/移位

CPTH 中有7 个寄存器可以向数据总线输出数据,但在某一特定时刻只能有一个寄存器输出数据,由X2,X1,X0决定那一个寄存器输出数据。

图2-2 数据输出选择器原理图

74HC138是3-8译码器,由输入端CBA选择Y0~Y7中的一位输出(输出低电平)。

表2-5 寄存器输出选择控制

表2-6 寄存器输出控制连接线表

(1)数据输出实验

置下表的控制信号,检验输出结果

(2)移位输出

图2-3 ALU直接输出和零标志位产生原理图

图2-4 ALU左移输出原理图

图2-5 ALU右移输出原理图

直通门将运算器的结果不移位直接送总线。当X2X1X0=100 时运算器结果通过直通门送到数据总线。同时,直通门上还有判0 电路,当运算器的结果为全0 时,Z=1,右移门将运算器的结果右移一位送总线。当X2X1X0=101 时运算器结果通过右通门送到数据总线。具体内部连接见图2-6。

图2-6 移位门连线

左移门将运算器的结果左移一位送总线。当X2X1X0=110 时运算器结果通过左通门送到数据总线。具体连线见图2-6。

思考题:

(1)设置A=55H,改变CN、CyIN的值,观察移位门的输出,填写下表:

注意:移位与输出门是否打开无关,无论运算器结果如何,移位门都会给出移位结果。但究竟把那一个结果送数据总线由X2X1X0输出选择决定。

(2)把右移门的数据送到数据总线,X2X1X0如何设置?

三、实验报告

1、整理实验数据、填写表格。

2、回答思考题。

实验三存储器EM 实验

一、实验目的:

1、了解CPTH实验箱存储器EM 的工作原理及控制方法。

2、掌握对程序存储器EM 进行读、写操作的方法。

二、实验原理及内容:

(一)proteus仿真平台

图3-1 proteus仿真存储器原理图

图3-1所示的存储器EM 由一片6116RAM 构成,是用户存放程序和数据的地方。6116RAM是2K*8位静态随机存储器芯片。E片选、G读允许、W写允许均为低电平有效。(有11根地址线,A0~A10,存储空间为211=2K)

74HC245是8线双向三态收发器。DR方向控制端,DR=0:B→A;DR=1:A→B。E 输出控制端,低电平有效。

图3-1中,静态存储器6116的E端接地,片选信号有效;G端接EMRD,当EMRD=0时读存储器内容;EMWR与CK经或门后接6116的W端,当EMWR=CK=0时,W为低电平,进行写存储器的操作;地址输入引入脚A10~A8接地,因此实际存储容量为256字节。6116的数据引脚为输入、输出双向引脚,在某一时刻只能进行读或者写的操作。

图3-1电路中,控制信号及其作用如下:

(1)EMEN:存储器与数据总线之间的传输控制。当EMEN=0时,三态门打开,允许数据传送;当EMEN=1时,关闭三态门。

(2)EMWR:存储器写信号。当EMEN=0、EMWR=0时,三态门打开,74HC245的数据传输方向B→A,按下CK(经反相器)使或门输出为低电平,此时存储器的W写信号有效,进行写存储器的操作。松开CK后或门的输出为高电平,W信号无效;当EMWR=1时,74HC245的数据传输方向A→B。

(3)EMRD:存储器读信号。当EMRD=0时,存储器的G信号有效,把指定地址单元的内容读出,如果此时EMEN=0、EMWR=1,将读出的数据送到数据总线;如果此时EMEN=1,读出的数据仅送显示灯。

1、写存储器实验

在proteus平台,运行电路:存储器EM1.DSN。向31H、32H、33H、34H、35H存储单元分别写入数据1H、2H、3H、4H、5H。以向31H单元写入1H为例填写以下空白:1)设置地址及数据:ABUS=(),DBUS=();

2) 设置控制信号值:EMEN=()、EMWR=()、EMRD=()

3)按下CK,将总线上的数据1H写入RAM的31H单元;

4)重复1)-3)步,将数据写入指定的单元。

2、读存储器实验

依次读出31H、32H、33H、34H、35H存储单元中的内容,观察上述单元中的内容是否与前面写入的一致。

设置控制信号值:EMEN=()、EMWR=()、EMRD=()

(二)、CPTH组成原理实验平台

CPTH实验箱上的存储器EM电路如图3-2所示。其地址由PC或MAR 提供;数据输出D0~D7直接接到指令总线IBUS,同时还通过一片74HC245 与数据总线相连。指令总线IBUS 的数据还可以来自一片74HC245。当ICOE 为0 时,这片74HC245 输出中断指令B8(中断实验中使用)。

图3-2 CPTH存储器EM原理图

写数据时,先在数据开关上设置好要写的存储单元地址,把地址值送到MAR(地址寄存器)或者PC(程序计数器),由它们把地址送给存储器EM;在数据开关上设置好要写的数据,设置EMEN=0,三态门打开允许数据传送到6116,并且使EMRD=1、EMWR=0、按下CK按钮(STEP键)即可将开关数据写入指定地址单元。

读数据时,先在数据开关上设置好要写的存储单元地址,把地址值送到MAR(地址寄存器)或者PC(程序计数器),设置EMRD=0、EMWR=1、EMEN=1即可读出指定地址单元的内容。

CPTH实验箱中地址寄存器MAR的工作原理图如图3-3所示。

图3-3 寄存器MAR原理图

图3-3中,MAREN=0且CK上升沿时,数据锁存到74HC574中;当MAROE=0时,输出寄存器中的数据。

1、CPTH写存储器EM实验

表3-1 CPTH存储器实验连接线表

(1)将地址值30H写入MAR寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据30H

置控制信号为:

按住STEP脉冲键,CK由高变低,这时寄存器MAR的黄色选择指示灯亮,表明选择

MAR寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据30H被写入MAR寄存器。

K14(MAROE)为0,MAR寄存器中的地址输出,MAR 红色输出指示灯亮。

将K14(MAROE)置为1,关闭MAR输出。

(2)写存储器EM

存贮器EM的地址由MAR提供,将数据1H存入地址为31H的存储单元。

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据1H;

置控制信号为:

按STEP键, 将数据1H写入EM[31H]

(3)连续写存储器EM

存储器EM的地址由MAR提供,将地址为31H~35H 的存储单元内容更改为1H、2H、3H、4H、5H。依操作步骤,写出相应的控制信号及取值,填入表3-2。

表3-2 CPTH连续写存储器操作步骤

操作开关

数据

控制信号

说明IREN PCOE MAROE MAREN EMEN EMRD EMWR

写地址1H写入

【31H】写数据

写地址2H写入

【32H】写数据

写地址3H写入

【33H】写数据

2、CPTH读存储器EM实验

存储器EM的地址由MAR提供,将地址为31H~35H 的存储单元内容读取出来。观察上述单元中的内容是否与前面写入的一致。

开关数据

控制信号

显示EM内容IREN PCOE MAROE MAREN EMEN EMRD EMWR

注意:读EM时,EMEN、MAREN有效都是往数据总线输送数据,二者不能同时为0。

三、实验报告

1、简述CPTH实验箱存储器EM的工作原理。

2、填写实验内容表格。

实验四程序计数器PC 实验

一、实验目的:

1、了解模型机中程序计数器PC的工作原理及其控制方法。

2、了解程序执行过程中顺序和跳转指令的实现方法。

二、实验电路及内容:

CPTH实验箱中的程序计数器PC 是由两片74HC161(4位可预置同步加法计数器)构成的八位计数器,预置数据来自数据总线。程序计数器的输出通过74HC245(双向三态门)送到地址总线或者送回数据总线。CPTH实验箱的PC组成原理图见图4-1。

图4-1 程序计数器PC原理图

74HC161(4位可预置同步加法计数器),PE是预置端,低电平有效,当PE=0时,CLK 的上升沿触发使Q端同P端;CEP、CET是计数端,当CEP=CET=1时,CLK的上升沿触发使Q n+1=Q n+1;MR是清零端。

图4-1中各个控制信号的作用如下:

当RST = 0 时,PC 记数器被清0

当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器

当PC+1 = 1 时,在CK的上升沿,PC记数器加一(PC+1由PCOE 取反产生)

当PCOE = 0 时,PC值送地址总线

其中的LDPC预置控制信号由一片74HC151(八选一数据选择器)构成。(由isp1016实现)

图4-2 LDPC预置控制端产生原理图

LDPC由ELP、JIR2、JIR3来决定输出结果。具体见表4-1。

表4-1 选择确定LDPC的取值表

当ELP=1 时,LDPC=1,不允许PC被预置

当ELP=0 时,LDPC 由JIR3,JIR2,Cy,Z确定

当JIR3 JIR2 = 1 X 时,LDPC=0,PC 被预置

当JIR3 JIR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置

当JIR3 JIR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置

表4-2 CPTH实验箱PC实验连接线表

1、PC 加一实验

置控制信号为:

按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。

2、PC 预置实验

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据30H

置控制信号为:

每置控制信号后,按一下STEP键,观察PC的变化。

(注:LDPC=0时,CK上升沿触发PC预置初值,即PC=30H)

思考题:

设置PC值从30H开始,逐次加一至34H,具体操作及取值填表4-3。

开关数据控制信号

操作说明

PCOE JIR3 JIR2 JRZ JRC ELP

预置初值PC=30H

PC+1

PC+1

PC+1

PC+1

3、PC给存储器EM提供地址

存储器EM的地址由PC提供,将地址为31H~35H 的存储单元内容更改为1H、2H、3H、4H、5H。依操作步骤,写出相应的控制信号及取值,填入表4-4。

操作开关

数据

控制信号

PCOE ELP JIR3 EMEN EMRD EMWR 说明

PC=31H PC预置初值PC+1 写【31H】PC+1 写【32H】PC+1 写【33H】PC+1 写【34H】PC+1 写【35H】

注意:按一次STEP脉冲键,同时提供给PC和EM的CK端一个上升沿,即PC+1与写EM操作同时进行。

计算机组成原理实验

计算机组成原理上机实验指导

一、实验准备和实验注意事项 1.本课程实验使用专门的TDN-CM++计算机组成原理教学实验设备,使用前后均应仔细检查主机板,防止导线、元件等物品落入装置内导致线路短路、元件损坏。 2.完成本实验的方法是先找到实验板上相应的丝印字及其对应的引出排针,将排针用电缆线连接起来,连接时要注意电缆线的方向,不能反向连接;如果实验装置中引出排针上已表明两针相连,表明两根引出线内部已经连接起来,此时可以只使用一根线连接。 3.为了弄清计算机各部件的工作原理,前面几个实验的控制信号由开关单元“SWITCH UNIT”模拟输入;只有在模型机实验中才真正由控制器对指令译码产生控制信号。在每个实验开始时需将所有的开关置为初始状态“1”。 4.本实验装置的发光二极管的指示灯亮时表示信号为“0”,灯灭时表示信号为“1”。 5.实验接线图中带有圆圈的连线为实验中要接的线。 6.电源关闭后,不能立即重新开启,关闭与重启之间至少应有30秒间隔。 7.电源线应放置在机内专用线盒中。 8.保证设备的整洁。

二、实验设备的数据通路结构 利用本实验装置构造的模型机的数据通路结构框图如下图。其中各单元内部已经连接好,单元之间可能已经连接好,其它一些单元之间的连线需要根据实验目的用排线连接。 图0-2 模型机数据通路结构框图

实验一运算器实验:算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构; 2.掌握运算器的工作原理; 3.掌握简单运算器的数据传送通路。 4.验证运算功能发生器(74LSl81)的组合功能。 二.实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 三.实验原理 实验中所用的运算器数据通路如图1-l所示。其中两片74LSl81以串行方式构成8位字长的ALU,ALU的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-B控制,控制运算器运算的结果能否送往总线,低电平有效。 为实现双操作数的运算,ALU的两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。要将数据总线上的数据锁存到DR1、DR2中,锁存器的控制端LDDR1和LDDR2必须为高电平,同时由T4脉冲到来。 数据开关(“INPUT DEVICE”)用来给出参与运算的数据,经过三态门(74LS245)后送入数据总线,三态门由SW-B控制,低电平有效。数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线上的内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT”的T4接至“STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲。 ALU运算所需的电平控制信号S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B均由“SWITCH UNIT”中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDRl、LDDR2为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

(完整版)计算机组成原理作业讲解1_4章答案解析

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器 10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼Von Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

计算机组成原理实验1-运算器

《计算机组成原理》 实验报告 实验一运算器实验

一、实验目的 1.掌握运算器的组成及工作原理; 2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操 作和逻辑操作的具体实现过程; 3.验证带进位控制的74LS181的功能。 二、实验环境 EL-JY-II型计算机组成原理实验系统一套,排线若干。 三、实验内容与实验过程及分析(写出详细的实验步骤,并分析实验结果) 实验步骤:开关控制操作方式实验 1、按图1-7接线图接线: 连线时应注意:为了使连线统一,对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。 图1-1 实验一开关实验接线图 2、通过数据输入电路的拨开关开关向两个数据暂存器中置数: 1)拨动清零开关CLR,使其指示灯。再拨动CLR,使其指示灯亮。置ALU-G =1:关闭ALU的三态门;再置C-G=0:打开数据输入电路的三态门; 2)向数据暂存器LT1(U3、U4)中置数:

(1)设置数据输入电路的数据开关“D15……D0”为要输入的数值; (2)置LDR1=1:使数据暂存器LT1(U3、U4)的控制信号有效,置LDR2=0:使数据暂存器LT2(U5、U6)的控制信号无效; (3)按一下脉冲源及时序电路的【单脉冲】按钮,给暂存器LT1送时钟,上升沿有效,把数据存在LT1中。 3)向数据暂存器LT2(U5、U6)中置数: (1)设置数据输入电路的数据开关“D15……D0”为想要输入的数值; (2)置LDR1=0:数据暂存器LT1的控制信号无效;置LDR2=1:使数据暂存器LT2的控制信号有效。 (3)按一下脉冲源及时序电路的“单脉冲”按钮,给暂存器LT2送时钟,上升沿有效,把数据存在LT2中。 (4)置LDR1=0、LDR2=0,使数据暂存器LT1、LT2的控制信号无效。 4)检验两个数据暂存器LT1和LT2中的数据是否正确: (1)置C-G=1,关闭数据输入电路的三态门,然后再置ALU-G=0,打开ALU 的三态门; (2)置“S3S2S1S0M”为“F1”,数据总线显示灯显示数据暂存器LT1中的数,表示往暂存器LT1置数正确; (3)置“S3S2S1S0M”为“15”,数据总线显示灯显示数据暂存器LT2中的数,表示往暂存器LT2置数正确。 3、验证74LS181的算术和逻辑功能: 按实验步骤2往两个暂存器LT1和LT2分别存十六进制数“1234H”和“5678H”,在给定LT1=1234H、LT2=5678H的情况下,通过改变“S3S2S1S0MCn”的值来改变运算器的功能设置,通过数据总线指示灯显示来读出运算器的输出值F,填入上表中,参考表1-1的功能表,分析输出F值是否正确。分别将“AR”开关拨至“1”和“0”的状态,观察进位指示灯“CY”的变化并分析原因。 实验结果表为:

计算机组成原理实验1-汇编语言实验

微处理器与接口技术 实验指导

实验一监控程序与汇编语言程序设计实验 一、实验要求 1、实验之前认真预习,明确实验的目的和具体实验内容,设计好主要的待实验的程序,做好实验之前的必要准备。 2、想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果。 3、在教学实验过程中,要爱护教学实验设备,认真记录和仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4、实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 二、实验目的 【1】学习和了解TEC-XP16教学实验系统监控命令的用法; 【2】学习和了解TEC-XP16教学实验系统的指令系统;

【3】学习简单的TEC-XP16教学实验系统汇编程序设计。 三、实验注意事项 (一)实验箱检查 【1】连接电源线和通讯线前TEC-XP16实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP16实验系统上的芯片和PC机的串口造成损害。 【2】五位控制开关的功能示意图如下: 【3】几种常用的工作方式【开关拨到上方表示为1,拨到下方为0】 (二)软件操作注意事项 【1】用户在选择串口时,选定的是PC机的串口1或串口2,而不是TEC-XP16实验系统上的串口。即选定的是用户实验时通讯线接的PC机的端口; 【2】如果在运行到第五步时没有出现应该出现的界面,用户需要检查是不是打开了两个软件界面,若是,关掉其中一个再试; 【3】有时若TEC-XP16实验系统不通讯,也可以重新启动软件或是重新启动PC再试; 【4】在打开该应用软件时,其它的同样会用到该串口的应用软件要先关掉。

计算机组成原理-运算器实验

实验题目实验四运算器实验 实验类型验证性实验实验日期4月6日 题目来源1、必修 2、选修 3、自拟(设计) 4、专题 一、实验目的及要求 (1)掌握算术逻辑运算加、减、与等的工作原理。 (2)熟悉简单运算器的数据传送通路。 (3)验证实验台运算器的 8 位加、减、与、直通功能。 (4)按给定数据,完成几种指定的算术和逻辑运算。 二、实验仪器设备与软件环境 TEC-9 计算机组成原理实验台、PC机、组成原理实验环境 三、实验过程及实验结果分析 (包括实验原理、步骤、数据、图表、结果及分析。软件类实验应写出程序代码;硬件类实验画出电路原理图(或逻辑框图)、列出实验数据,并对实验结果进行分析)(1)根据个人理解,画出本次实验的电路逻辑框图。

向DR2存入55H,二进制为:0101 0101,设置开关,按QD. 实验结果: 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1

2、验证运算器的算术运算和逻辑运算功能。 置 SW_BUS = 0,关闭数据开关 SW0—SW7 对数据总线 DBUS 的输出;置ALU_BUS = 1,开启 ALU 对 DBUS 的输出。正确选择 S 3、S2、S1、S0,完成表 2的实验内容,记下实验结果(数据和进位)并对结果进行分析。 完成表2的部分实验,对A 取反。DR2中数据作为A,DR1中数据作为B ,进行算数和逻辑运算。 向DR2存入FFH,二进制为:1111 1111,设置开关,按QD. 并进行逻辑运算,对A 取反,将结果保存到寄存器堆R3中,设置开关,按QD. 实验结果:1111 1111 取反为00H 3、结合实验二内容,设计硬件连线和实验步骤,完成从寄存器堆中取数参与运算, 记下实验结果(数据和进位)并对结果进行分析。要求完成以下内容: (1)设置 R0 值为 01100011; (2)设置 R1 值为 10110100; (3)设置 R2 值为 111111111; 向R0存入63H,二进制为:0110 0011,设置开关,按QD. 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 1 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS

多思计算机组成原理实验 1 全加器实验

实验 1 全加器实验 1.1 实验目的 1) 熟悉多思计算机组成原理网络虚拟实验系统的使用方法。 2) 掌握全加器的逻辑结构和电路实现方法。 1.2 实验要求 1) 做好实验预习,复习全加器的原理,掌握实验元器件的功能特性。 2) 按照实验内容与步骤的要求,独立思考,认真仔细地完成实验。 3) 写出实验报告。 1.3 实验电路 本实验使用的主要元器件有:与非门、异或门、开关、指示灯。 图1.1 一位全加器实验电路 一位全加器的逻辑结构如图 1.1 所示,图中涉及的控制信号和数据信号如下: 1) A i 、B i :两个二进制数字输入。 2) C i :进位输入。 3) S i :和输出。 4) C i+1:进位输出。 & & & =1 =1 A i B i C i C i +1 S i

1.4 实验原理 1 位二进制加法器有三个输入量:两个二进制数字A i、B i 和一个低位的进位信号C i,这三个值相加产生一个和输出Si 以及一个向高位的进位输出C i+1,这种加法单元称为全加器,其逻辑方程如下: S i=A i⊕B i⊕C i (1.1) C i+1=A i B i+B i C i+C i A i 1.5 实验内容与步骤 1.运行虚拟实验系统,从左边的实验设备列表选取所需组件拖到工作区中,按照图 1.1 所示搭建实验电路,得到如图 1.2 所示的实验电路。 图1.2 一位全加器虚拟实验电路 2.打开电源开关,按表1-1 中的输入信号设置数据开关,根据显示在指示灯上的运算结 果填写表1-1 中的输出值。

3.关闭电源开关,增加元器件,实现一个 2 位串行进位并行加法器。用此加法器进行运 算,根据运算结果填写好表1-2。

计算机组成原理实验1

计算机组成原理实验日志 实验题目: 运算器组成实验 实验目的: (1)了解运算器的组成结构; (2)掌握运算器的工作原理; ⑶学习运算器的设计方法; (4)掌握简单运算器的数据传输通路; (5)验证运算功能发生器74LS181的组合功能。 实验主要步骤: (1)(按图1.1-2连接实验电路并检查无误。图中将学生需要连接的信号线用小圆圈 标明(其他实验相同,不再说明)。 图1.1-2算术逻辑实验接线图 (2)打开电源开关。 (3)用输入开关向暂存器DR1置数。 ①拨动输入开关,形成二进制数01100101或其他数值)。(数据显示:灯亮 为0,灭为1)。 ②使SWITCH UNIT单元中的开关SW-B=0(打开数据输入三态门)、ALU-B=1(关闭ALU 输入三态门)、LDDR1=1、LDDR2=0。 ③按动微动开关KK2(产生T4),则将二进制数01100101置入DR1中。 (4)用输入开关向暂存器DR2置数。

①拨动输入开关,形成二进制数10100111或其他数值)。 ②SW-B=0、ALU-B=1 保持不变,改变LDDR1、LDDR2,使LDDR1=0、 LDDR2=1。 ③按动微动开关KK2(产生T4),则将二进制数10100111置入DR2中。⑸检验DR1和DR2中存的数是否正确。 ①关闭数据输入三态门(SW-B=1),打开ALU输出三态门(ALU-B=0),并使LDDR1=0、LDDR2=0,关闭寄存器。 ②置S3、S2、S1、S0、M为1、1、1、1、1,总线显示灯显示DR1中的数。 ③置S3、S2、S1、S0、M为1、0、1、0、1,总线显示灯显示DR2中的数。(6)改变运算器的功能设置,观察运算器的输出。 ①SW-B=1、ALU-B=0保持不变。 ②按表1.1-2置S3、S2、S1、S0、M、Cn的数值,并观察总线显示灯显示的结果。 例如: 置S3、S2、S1、S0、M、Cn为1、0、0、1、0、1,运算器进行加法运算。 置S3、S2、S1、S0、M、Cn为0、1、1、0、0、0,运算器进行减法运算。 ⑺验证74LS181的算术运算和逻辑运算功能(采用正逻辑)。 ①在给定DR1=65、DR2=A7的情况下,改变运算器的功能设置,观察运算器的输出,并将该输出填入表1.1-2中。 ②参考表1.1-1给出的74LS181的逻辑功能表,验证74LS181的算术运算和逻辑运算功能(采用正逻辑),且与理论分析进行比较和验证。 实验结果: DR1置入01H DR1置入02H 加法,总线显示03H 减法,总线显示01H 心得体会: 通过本次实验初步了解了实验器材,加法原理和操作。

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用. 二、实验要求 掌握通用寄存器R3~R0的读写操作. 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效.准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2—3-3 通用寄存器数据通路 四、实验内容 1.实验连线 连线信号孔接入孔作用有效电平

2.寄存器的读写操作 ①目的通路 当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表. 通用寄存器“手动/搭接”目的编码 ②通用寄存器的写入 通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下: 通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下: ③源通路 当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表. 通用寄存器“手动/搭接”源编码

④ 通用寄存器的读出 关闭写使能,令K18(RWR )=1,按下流程分别读R0、R1、R2、R3。 五、实验心得 通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。 实验2 运算器实验 一、实验目的 掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能. 二、实验要求 完成算术、逻辑、移位运算实验,熟悉ALU 运算控制位的运用. 三、实验原理 实验中所用的运算器数据通路如图2-3— 1所示。ALU 运算器由CPLD 描述。运算器的输出FUN 经过74LS245三态门与数据总线相连,运算源寄存器A 和暂存器B 的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O 输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。

计算机组成原理实验指导书

目录 实验一一位全加器的设计与仿真 (2) 实验二四位全加器的设计与仿真 (3) 实验三32位浮点乘法器的设计与仿真 (4) 实验四简单运算器的设计与仿真 (5) 实验五一位比较器的设计与仿真 (6) 实验六八位比较器的设计与仿真 (7) 实验七1/2分频器的设计与仿真 (8) 实验八二选一多路选择器的设计与仿真 (9) 实验九四选一多路选择器的设计与仿真 (10) 实验十3-8译码器的设计与仿真 (11) 实验十一触发器的设计与仿真 (12) 实验十二移位寄存器的设计与仿真 (13) 实验十三存储器设计与仿真 (14) 实验十四同步FIFO的设计与仿真 (15) 实验十五状态机的设计与仿真 (17) 实验十六控制器设计与仿真 (18)

实验一一位全加器的设计与仿真 实验目标:设计一个一位全加器模型并编写测试程序进行仿真测试。 实验要求:设计模块名称fulladd,输入端口a,b,c_in。输出端口sum,c_out。编写的测试程序要保证测试充分。 利用公式 ?sum= a⊕b⊕c_in ?c_out=ab+(a⊕b)c_in

实验二四位全加器的设计与仿真 实验目标:利用实验一实现的模块设计一个四位全加器并仿真测试。 实验要求:设计模块名称fulladd_4bit,输入端口a,b,c_in。输出端口sum,c_out。测试要充分。

实验三32位浮点乘法器的设计与仿真 实验目标:设计一个32位浮点乘法器并仿真测试。 实验要求:浮点数包含一位符号位,8位阶码和23位尾数。浮点数乘法运算步骤参考教科书第六章相关内容。

实验四简单运算器的设计与仿真 实验目标:设计一个运算器模型,并进行仿真测试。 实验要求:运算器的字长为32位,至少能够实现加法、减法、逻辑与、逻辑或四种运算,并产生N(结果为负)、Z(结果为零)、V(结果溢出)、C(进位)四个标志位。要求采用层次化的建模方法,即先搭建低层模块,然后再逐级搭建高层模块。

(完整word版)计算机组成原理实验1~4

实验一寄存器实验 一、实验目的 1、了解CPTH模型机中寄存器的结构、工作原理及其控制方法. 2、熟悉CPTH实验仪的基本构造及操作方法。 二、实验电路 寄存器的作用是用于保存数据的,因为CPTH模型机是8位的,因此模型机中大部寄存器是8 位的,标志位寄存器(Cy, Z)是二位的. CPTH 用74HC574 (8—D触发器)来构成寄存器。74HC574 的功能如表1—1所示: 图1-1 74HC574的引脚图 1. 在CLK的上升沿将输入端的数据打入到8 个触发器中 2. 当OC = 1 时触发器的输出被关闭,当OC=0 时触发器的输出数据 表1-1 74HC574功能表 图1—2 74HC574工作波形图 三、实验内容 (一)proteus仿真平台 1、proteus仿真平台简介 Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件.它的主界面如图1-3所示:

图1—3 proteus仿真平台主界面 2、在proteus平台上运行电路:寄存器_1.DSN。拨动开关,观察灯的亮灭,回答思考题1。 思考题1:先使OC=1,拨D0~D7=00110011,按下CK提供CLK上升沿;再拨D0~D7=01000100,OC=0,此时Q0~Q7为多少? 3、CPTH模型机上,寄存器A的电路组成如图1-4所示。在proteus平台上运行电路:寄存器_2.DSN,回答思考题2。 图1-4 寄存器A原理图 思考题2:数据从D端传送到Q端,相应的控制端如何设置? 3、CPTH模型机上,寄存器组R0~R3的电路组成如图1-5所示。在proteus平台上运行电路:寄存器_3。DSN,回答思考题3。

计算机组成原理 实验一 运算器组成实验

实验一运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 S3 S2 S1 S0 M 图3.1 运算器实验电路 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。 RF(U54)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、

B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS上。 DR1(U47)和DR2(U48)各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU 的A输入端口,DR2接ALU的B输入端口。ALU(U31、U35)由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD按钮,则顺序产生T1、T2、T3、T4一组单脉冲。 三、实验设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 3.双踪示波器一台(公用) 4.万用表一只(公用) 四、实验任务 1.按图3.1所示,将运算器模块与实验台操作板上的线路进行连接。由于运算器模块 内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号模拟开 关、与运算器模块的外部连线。注意:为了建立清楚的整机概念,培养严谨的科 研能力,手工连线是绝对必要的。 2.用开关SW7—SW0向通用寄存器堆RF内的R0—R3寄存器置数。然后读出R0—R3 的内容,在数据总线DBUS上显示出来。 3.验证ALU的正逻辑算术、逻辑运算功能。 令DR1=55H,DR2=0AAH,Cn#=1。在M=0和M=1两种情况下,令S3—S0的值从0000B变到1111B,列表表示出实验结果。实验结果包含进位C,进位C由指示灯显示。 注意:进位C是运算器ALU最高位进位Cn+4#的反,即有进位为1,无进位为0。 五、实验要求 1.做好实验预习,掌握运算器的数据传输通路及其功能特性,并熟悉本实验中所用 的模拟开关的作用和使用方法。 2.写出实验报告,内容是: (1)实验目的。 (2)按实验任务3的要求,列表表示出实验结果。 (3)按实验任务4的要求,在表中填写各控制信号模拟开关值,以及运算结果值。 六、实验步骤和实验结果 (1)实验任务2 的实验步骤和结果如下:(假定令R0=34H,R1=21H,R2=52H,R3=65H)1.置DP=1,DB=0,编程开关拨到正常位置。 接线表如下:

计算机组成原理实验四移位控制实验

实验四移位控制实验 一、实验目的 (1)了解移位寄存器芯片(74LS299)的逻辑功能。 (2)掌握移位寄存器数据的载入、左移、右移的方法。 (3)掌握移位寄存器工作模式的设置,观察在不同工作模式下移位 寄存器的逻辑功能。 二、实验原理 移位操作时算术逻辑运算部件ALU众多操作中的一种,74LS181 算数逻辑运算芯片不带位移功能,需要在其他芯片的配合下才能实现移位操作。实验台选用74LS299作为移位部件,与74LS181组成具有移位功能的算术逻辑运算部件(ALU UNIT)。移位操作有很重要的逻辑意义,对一个数据左移一个二进制位就相当于进行了一次乘2操作(Si+仁Si X 2),左移和算数加结合可实现算数乘操作;右移一个二进制位就相当于进行了一次除2操作(Si+仁Si宁2),右移和算数减的结合可实现算数除操作。 1. 芯片74LS299的逻辑功能 4LS299是一种数据宽度为8为的多功能移位寄存器芯片,片内含有8为寄存器D7-DO,与普通寄存器芯片不同之处是D7- DO与1/06 —1/00除了——对应输出外还可有左右移位输出。左移时D0对 应I/O1、D1对应I/O2……以此类推;右移时D7对应I/O6、D6对应I/O5……

也以此类推。对于输出、左右移位输出功能的选择,由S1、 SO的功能控制端决定。芯片封装在具有20引脚的封装壳中,封装型式见图2-5。 5V S1 SL Q7 107 IO5 103 101 CP SR 肓7Fi 171 ITFI nr 131 121 m 74LS299 123456789 10 SO 0E1 0E2 I06 I04 I02 IO0 QO M GDN 图2-574LS299 74LS299芯片的主要引脚有: (1) I07 —IO0:数据输入/输出端,芯片的输入/输出共用一个引脚,不同于74LS181输入、输出端引脚是分开的。 (2) SO、S1 :功能控制端,控制左移、右移等逻辑功能。 (3) OE1 OE2输出使能端,低电平时,IO7-IO0处于输入状态,高电平时,IO7-IO0处于输入状态。 (4) CP时钟输入端,数据的输入、位移需要在时钟脉冲的同步控制下动作。 (5) M:清零端,低电平有效,清零位移寄存器。 (6) Q7:高位左移输出,左移时接受D7的信号。 (7) Q0低位右移输出,右移时接受D0的信号。 (8) SL:高位左移输入端,左移时向D0送入信号

计算机组成原理实验报告

计算机组成原理实验报告 实验一静态随机存取存贮器实验 一.实验目的 介绍静态随机存取存贮器的工作原理;掌控读取存贮器的方法。 二.实验内容 实验仪的存贮器mem单元采用一片静态存贮器6116(2k×8bit)存放程序和数据。 ce:片选信号线,低电平有效,实验仪已将该管脚接地。oe:读信号线,低电平有效。we:写信号线,低电平有效。a0..a10:地址信号线。i/o0..i/o7:数据信号线。 ceoewe功能1××不选上6116001读010写下000不确认sram6116功能表 存贮器挂在cpu的总线上,cpu通过读写控制逻辑,控制mem的读写。实验中的读写 控制逻辑如下图: 读取掌控逻辑 m_ni/o用来选择对mem还是i/o读写,m_ni/o=1,选择存贮器mem;m_ni/o=0,选择 i/o设备。nrd=0为读操作;nwr=0为写操作。对mem、i/o的写脉冲宽度与t2一致;读脉冲宽度与t2+t3一致,t2、t3由con单元提供。 存贮器实验原理图 存贮器数据信号线与数据总线dbus相连;地址信号线与地址总线abus相连,6116的高三位地址a10..a8接地,所以其实际容量为256字节。 数据总线dbus、地址总线abus、掌控总线cbus与拓展区单元相连,拓展区单元的数 码管、发光二极管上表明对应的数据。 in单元通过一片74hc245(三态门),连接到内部数据总线idbus上,分时提供地址、 数据。mar由锁存器(74hc574,锁存写入的地址数据)、三态门(74hc245、控制锁存器 中的地址数据是否输出到地址总线上)、8个发光二极管(显示锁存器中的地址数据)组成。 t2、t3由con单元提供更多,按一次con单元的ustep键,时序单元收到t1信号; 按一次ustep键,时序单元收到t2信号;按一次ustep键,时序单元收到t3信号;再按 一次ustep键,时序单元又收到t1信号,……

计算机组成原理实验报告

《计算机组成与系统结构》 实验指导书 计算机与信息工程系 2013年7月 目录 实验概述 .......................................................... 实验项目一专用寄存器(1)........................................... 实验项目二通用寄存器.............................................. 实验项目三专用寄存器(2)........................................... 实验项目四数据输出/移位门......................................... 实验项目五微程序计数器............................................ 实验项目六运算器.................................................. 实验项目七程序计数器.............................................. 实验项目八存储器读写.............................................. 实验项目九微程序存储器读写........................................ 实验项目十中断.................................................... 实验项目十一模型计算机设计........................................ 实验概述 一、实验目的 1.加深对讲授内容的理解,通过实验来掌握计算机系统原理。熟练地掌握计算机中每个部件的电路设计 方法并完成调试和分析结果。 2.熟悉所用的仿真软件。学会使用仿真软件上机调试电路。 3.通过使用实验箱实验来观察系统运行结果。 二、实验准备工作 1.熟悉所用的实验箱和计算机的性能和使用方法。 2.复习和掌握与本次实验有关的教学内容。 3.每个实验之前设计好实验所需电路。 三、实验报告 实验报告应包括以下内容:实验目的、实验内容、运行结果、对运行结果的分析以及本次实验所取得的经验。 四、实验任务与时间安排

计算机组成原理实验报告精品9篇

计算机组成原理实验报告 课程名称计算机组成原理实验 学院计算机 专业班级 学号 学生姓名 指导教师 20年月日

实验一:基础汇编语言程序设计实验 1实验目的 ●学习和了解TEC-XP+教学实验监控命令的用法; ●学习和了解TEC-XP+教学实验系统的指令系统; ●学习简单的TEC-XP+教学实验系统汇编程序设计。 2实验设备及器材 ●工作良好的PC机; ●TEC-XP+教学实验系统和仿真终端软件PCEC。 3实验说明和原理 实验原理在于汇编语言能够直接控制底层硬件的状态,通过简单的汇编指令查看、显示、修改寄存器、存储器等硬件内容。 实验箱正如一集成的开发板,而我们正是通过基础的汇编语言对开发板进行使用和学习,过程中我们不仅需要运用汇编语言的知识,还需要结合数字逻辑中所学的关于存储器、触发器等基本器件的原理,通过串口通讯,实现程序的烧录,实验箱与PC端的通讯。 4实验内容 1)学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2)学习使用WINDOWS界面的串口通讯软件; 3)使用监控程序的R命令显示/修改寄存器内容、D命令显示存储内容、E命令 修改存储内容; 4)使用A命令写一小段汇编程序,U命令反汇编输入的程序,用G命令连续 运行该程序,用T、P命令单步运行并观察程序单步执行情况。

5实验步骤 1)准备一台串口工作良好的PC机器; 2)将TEC-XP+放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3)将黑色的电源线一段接220V交流电源,另一端插在TEC-XP+实验箱的电源 插座里; 4)取出通讯线,将通讯线的9芯插头接在TEC-XP+实验箱上的串口"COM1"或 "COM2"上,另一端接到PC机的串口上; 5)将TEC-XP+实验系统左下方的六个黑色的控制机器运行状态的开关置于正 确的位置,再找个实验中开关应置为001100(连续、内存读指令、组合逻辑、联机、16位、MACH), 6)控制开关的功能在开关上、下方有标识;开关拨向上方表示"1",拨向下方表示 "0","X"表示任意,其他实验相同; 7)打开电源,船型开关盒5V电源指示灯亮; 8)在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机 的串口为"1"或"2",其他的设置一般不用改动,直接回车即可; (8)按一下"RESET"按键,再按一下"START"按键,主机上显示: 6实验截图及思考题 【例3】计算1到10的累加和。

计算机组成原理实验报告

实验一:数字逻辑——交通灯系统设计子实验1: 7 段数码管驱动电路设计 (1)理解利用真值表的方式设计电路的原理; (2)利用Logisim 真值表自动生成电路的功能,设计一个 7 段数码管显示驱动。 二、实验方案设计 7 段数码管显示驱动的设计方案: (1)输入:4 位二进制 (2)输出:7 段数码管 7 个输出控制信号 (3)电路引脚: (4)实现功能:利用 7 段数码管显示 4 位二进制的 16 进制值 (5)设计方法: 由于该实验若直接进行硬件设计会比较复杂,而7 段数码管显示的真值表较容易掌握,所以我们选择由真值表自动生成电路的方法完成该实验。 先分析设计 7 段数码管显示驱动的真值表,再利用Logisim 中的“分析组合逻辑电路”功能,将真值表填入,自动生成电路。 (6)真值表的设计: 由于是 4输入 7输出,真值表共有 16 行。7输出对应 7个引脚,所以需要依次对照LED 灯的引脚顺序进行设计,如下图所示(注意LED 的引脚顺序): 三、实验步骤 (1)在实验平台下载实验框架文件RGLED.circ; (2)在Logisim 中打开RGLED.circ 文件,选择数码管驱动子电路;

(3)点击“工程”中的“分析组合逻辑电路”功能,先构建4输入和7输出,再在“真值表”中,将已设计好的真值表的所有数值仔细对照着填入表格中,确认无误后点击“生成电路”,自动生成的电路如下图所示: (4)将子电路封装为如下形式:

(5)进行电路测试: ·自动测试 在数码管驱动测试子电路中进行测试; ·平台评测 自动测试结果满足实验要求后,再利用记事本打开RGLED.circ 文件,将所有文字信息复制粘贴到Educoder 平台代码区域,点击评测按钮进行测试。 四、实验结果测试与分析 (1)自动测试的部分结果如下: (2)平台测试结果如下: 综上,本实验测试结果为通过,无故障显示。 本实验的关键点在于:在设计时需要格外注重LED 灯的引脚顺序,保证0-9 数字显示的正确性,设计出正确的真值表。

计算机组成原理实验指导及答案.docx

计算机组成原理实验指导 实验一运算器实验 一、实验目的 1. 掌握简单运算器的数据传输方式。 2. 验证运算功能发生器(74LS1 81)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用0 三、实验原理 实验中所用的运算器数据通路如图7-1-1所示。其中运算器山两片74LS181以并/ 串形式构成8位字长的ALU 。运算器的输出经过一个三态|' J(74LS245)以8芯扁平线方式 和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的 输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)川來给出参与运算 的数据,经一三态f J(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT) 已和数据总线相连,用來 显示数据总线内容。 图7-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接吋序启停单元时钟信号 來获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧 的复位按钮使系统进入初始待令状态,在LED 显示器闪动位岀现“P.” 的状态下,按【增 进! 二 I 制' 开' 关• 单' 元I

址】命令键使LED 显示器口左向右第4位切换到提示符“L” ,表示本装置已进入手动单 元实验状态,在该状态卜•按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、 LDDR2、 ALU-B 、SW-B 、S3、S2、S1、SO 、CN 、M 各电平控制信号用位于LED 显示 器上方的26位 二进制开关來模拟,均为高电平有效。 四、实验连线 両时序启停 JUUT O O 图7-1-2实验连线示意图 按图7-1-2所示,连接实验电路: ① 总线接口连接:用8芯扁平线连接图7-1-2屮所有标明“U 帕”或“目儷”图 案的总线接口。 ② 控制线与时钟信号“皿1”连接:用双头实验导线连接图7-1-2中所侑标明“O+C” O 或“受”图案的插孔(注:Dais-CMH 的吋钟信号已作内部连接)。 .0 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED 显示器口左向右第4位显示提示 符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图7-1-3所示系统用位于实验系统“矽二进制开关单元”的26 T1T2T3T4 o o o ORI D7 ............... D0

相关主题
文本预览
相关文档 最新文档