EDA试卷及答案
- 格式:doc
- 大小:99.00 KB
- 文档页数:10
EDA技术EDA技术试卷(练习题库)1、个项目的输入输出端口是定义在()。
2、描述项目具有逻辑功能的是()。
3、关键字ARCHITECTURE定义的是。
4、M AXP1USII中编译VHD1源程序时要求()。
5、1987标准的VHD1语言对大小写是()。
6、关于1987标准的VHD1语言中,标识符描述正确的是()。
7、符合1987VHD1标准的标识符是()。
8、VHD1语言中变量定义的位置是()。
9、VHD1语言中信号定义的位置是()。
10、变量是局部量可以写在()。
11、变量和信号的描述正确的是()。
12、关于VHD1数据类型,正确的是()。
13、下面数据中属于实数的是()。
14、下面数据中属于位矢量的是()。
15、可以不必声明而直接引用的数据类型是()。
16、STD_10GIG_1164中定义的高阻是字符()。
17、STD_10GIG」164中字符H定义的是()。
18、使用STD_1OG1G」164使用的数据类型时()。
19、VHD1运算符优先级的说法正确的是()。
20、如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。
21、不属于顺序语句的是()。
22、正确给变量X赋值的语句是()。
23、EDA的中文含义是()。
24、EPF10K20TC144-4具有多少个管脚()。
25、如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是()。
26、MAX+P1USII的,数据类型为std_1ogic_vector,试指出下面那个30、在一个VHD1,数据类型为integer,数据范围0to127,下面哪个赋31、下列那个流程是正确的基于EDA软件的FPGA/CP1D和变量的说法,哪一个是不正确的:()。
33、下列语句中,不属于并行语句的是:()。
34、O在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。
35、不是操作符号它只相当与作用〃target=Zb1ank〃>在VHD1的CASE语句中,条件句中的“二>”不是操作符号,它只相当与O作用。
EDA期末试卷一、填空题1.一般把EDA技术的发展分为 MOS时代、 CMOS代和 ASIC 三个阶段。
2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。
3.EDA设计输入主要包括图形输入、 HDL文本输入和状态机输入。
4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。
6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。
7.以EDA方式设计实现的电路设计文件,最终可以编程下载到 FPGA 和 CPLD 芯片中,完成硬件设计和验证。
8.MAX+PLUS的文本文件类型是(后缀名) .VHD 。
9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。
10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。
二、选择题:。
11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器B.综合器C.适配器D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer13.VHDL常用的库是(A )A. IEEEB.STDC. WORKD. PACKAGE 14.下面既是并行语句又是串行语句的是( C )A.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE 语句15.在VHDL中,用语句(D )表示clock的下降沿。
A. clock’EVENTB. clock’EVENT AND clock=’1’C. clock=’0’D. clock’EVENT AND clock=’0’16. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。
2007年4月计算机等级考试C++考试及答案一、选择题(每小题2分,共70分)下列各题A)、B)、C)、D)四个选项中,只有一个选项是正确的。
请将正确选项涂写在答题卡相应位置上,答在试卷上不得分。
(1)下列叙述中正确的是。
A)算法的效率只与问题的规模有关,而与数据的存储结构无关.B)算法的时间复杂度是指执行算法所需要的计算工作量C)数据的逻辑结构与存储结构是一一对应的.D)算法的时间复杂度与空间复杂度一定相关.(2)在结构化程序设计中,模块划分的原则是。
A)各模块应包括尽量多的功能B)各模块的规模应尽量大C)各模块之间的联系应尽量紧密D)模块内具有高内聚度,模块间具有低耦合度(3)下列叙述中正确的是。
A)软件测试的主要目的是发现程序中的错误.B)软件测试的主要目的是确定程序中错误的位置.C)为了提高软件测试的效率,最好由程序编制者自己来完成软件测试的工作D)软件测试是证明软件没有错误(4)下面选项中不属于面向对象程序设计特征的是。
A)继承性B)多态性C)类比性D)封装性(5)下列对列的叙述正确的是。
A)队列属于非线性表B)队列按‖先进后出‖的原则组织数据C)队列在队尾删除数据D)队列按―先进先出‖原则组织数据(6)对下列二叉树A)DYBEAFCZX B)YDEBFZXCA C)ABDYECFXZ D)ABCDEFXYZ (7)某二叉树中有n个度为2的结点则该二叉树中的叶子结点数为A)n+1 B )n-1 C)2n D)n/2(8)在下列关系运算中,不改变关系表中的属性个数但能减少元组个数的是。
A)井B)交C)投影D)笛卡儿乘积(9)在E-R图中,用来表示实体之间是联系的图形是。
A)矩形B)椭圆形C)菱形D)平行四边形(10)下列叙述中错误的是。
A)在数据库系统中,数据的物理结构必须与逻辑结构一致.B)数据库技术的根本目标是要解决数据的共享问题C)数据库设计是指在已有数据库管理系统的基础上建立数据库D)数据库系统需要操作系统的支持(11)为了取代C中带参数的宏,在C++中使用A)重载函数B)内联函数C)递归函数D)友元函数(12)下列关于类定义的说法中,正确的是A)类定义中包括数据成员和函数成员的声明B)类成员的缺省访问权限是保护的C)数据成员必须被声明为私有的D)成员函数只能在类体外进行定义(13)下列关于派生类构造函数和析构函数的说法中,错误的是A)派生类的构造函数会隐含调用基类的构造函数B)如果基类中没有缺省构造函数,那么派生类必须定义构造函数C)在建立派生类对象时,先调用基类的构造函数,再调用派生类的构造函数D)在销毁派生类对象时,先调用基类的析构函数,再调用派生类的析构函数(14)通过运算符重载,可以改变运算符原有的A)操作数类型B)操作数个数C)优先级D)结合性(15)有如下函数模板:template <class T> T souare(T x)(retumx * x ;)基中T是A)函数形参B)函数实参C)模板形参D)模板实参(16)使用输入输出操作符setw,可以控制A)输出精度B)输出宽度C)对齐方式D)填充字符(17)下列字符串中,不可以用作C++标识符的是A)y_2006 B)____TEST__H C)Retum D)switch(18)字面常量42、4.2、42L的数据类型分别是A)long、double int B)long、float、intC)int、double、long D)int、float、long(19)执行下列语句段后,输出字符―*‖的个数是for(int i =50;I>1;— i )cout<<‖*‖A)48 B)49 C)50 D)51(20)有如下程序段inti =0, j=1;int&r=i ; // ①r =j; //②int*p=&i ; //③*p=&r ; //④基中会产生编译错误的语句是A)④B)③C)②D)①(21)有如下函数定义:void func (int a,int&b){a++; b++;}若执行代码段:intx=0 ,y=1func(x,y);则变量x和y值分别是A)0和1 B)1和1 C)0和2 D)1和2(22)有如下程序:#include<iostream>using namespace std;class A{publicstatic int a;void init(){a=1;}A(int a=2){init();a++;}};int A:;a=0A obj;Int main(){cout<<obj.a;retum0;}运行时输出的结果是A)0 B)1 C)2 D)3(23)下列有关继承和派生的叙述中,正确的是A)派生类不能访问基类的保护成员B)作为虚基类的类不能被实例化C)派生类应当向基类的构造函数传递参数D)虚函数必须在派生类中重新实现(24)下列运算符中,不能被重载的是A)&&B)!= C). D)++(25)下列函数模板的定义中,合法的是A)template<typename T> Tabs(T x){return x <0 – x: x;} B)template class <T>Tabs(Tx){retumx<0 -x;x;}C)template T<class T.>abs(T x){retum x<0 -x;x;}D)template T abs(T x){returm x<0 -x;x;}(26)在语句cin>>data;中,cin是A)C++的关键字B)类名C)对象名D)函数名(27)有如下程序:#include<iostream>using namespace std;class test {private:int a;public:test(){cout<<‖constructor‖<<endl;}test(int a){cout<<a<<endl;}test(const test&_test){a=_testa;cout<<‖copy constructor‖<<endl;}test(){cout<<‖destructor‖<<endl;} };int main()}test A(3)return0;运行时输出的结果是A)3B)constructordestruclorC)copy constructorD)3dstructor destruclor(28)若有如下类声明class My Class {public:MyClass(){cout<<1;}};执行下列语句MyClass a,b[2],*P[2];以后,程序的输出结果是A)11 B)111 C)1111 D11111 (29)有如下程序:#include<iostream>using namespace std;class point {public:static int number;public:point(){number++;~point(){number-;}};imt point::number=0;void main(){point*ptr;printA,B;{point*ptr_point=new point{3};ptr=ptr_point;}pointC;cout<<point::number<<endl;delete[]ptr;}运行时输出的结果是A)3 B)4 C)6 D)7(30)如果不使用多态机制,那么通过基类的指针虽然可以指向派生类对象,但是只能访问从基类继承的成员,有如下程序,没有使用多态机制。
1.wire型变量与reg型变量有什么本质区别?它们可以用于什么类型语句中?2.阻塞赋值与非阻塞赋值有何区别?1.用Verilog设计一个3-8译码器。
2.设计一个异步清0,同步时钟使能和异步数据加载型8位二进制加法计数器。
参考例3-22module CNT10(clk,rst,en,load,cout,dout,data);input clk,en,rst,load;input [3:0] data;output[3:0] dout;output cout;reg [3:0] q1; reg cout;assign dout=q1;always@(posedge clk or negedge rst or negedge load) beginif(!rst) q1<=0;else if(!load) q1<=data;else if(en) beginif (q1<9) q1<=q1+1;else q1<=4'b0000;end endalways@(q1)if(q1==4'h9) cout=1'b1;else cout=1'b0;endmodule3.设计一个功能类似74LS160的计数器。
4.设计一个含有异步清零和计数使能的16位二进制加减可控计数器的Verilog HDL描述。
5.设计七人表决器。
module voter7(pass,vote);output pass;input [6:0] vote;reg pass;reg [2:0] sum;always @(vote)beginsum=0;if(vote[0]==1) sum=sum+1'b1;if(vote[1]==1) sum=sum+1'b1;if(vote[2]==1) sum=sum+1'b1;if(vote[3]==1) sum=sum+1'b1;if(vote[4]==1) sum=sum+1'b1;if(vote[5]==1) sum=sum+1'b1;if(vote[6]==1) sum=sum+1'b1;if(sum[2]) pass=0; //若超过4人赞成,则pass=0,LED1亮else pass=1;endendmoduleAltera Xilinx一、填空题(10分,每小题1分)1.用EDA技术进行电子系统设计的目标最终完成 ASIC 的设计与实现。
eda考试试卷一、单项选择题(每题2分,共20分)1. EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog2. 在VHDL中,以下哪个关键字用于定义信号的初始值?A. signalB. variableC. constantD. file3. 在Verilog中,以下哪个运算符用于按位取反?A. ~B. !C. ^D. |4. EDA工具中,用于模拟数字电路行为的软件是?A. ModelSimB. QuartusC. Xilinx ISED. MATLAB5. 在数字电路设计中,以下哪个不是触发器?A. SR触发器B. JK触发器C. D触发器D. AND门6. 在VHDL中,以下哪个语句用于创建进程?A. beginB. ifC. loopD. for7. 在Verilog中,以下哪个关键字用于定义模块?A. moduleB. functionC. taskD. begin8. 在数字电路设计中,以下哪个不是组合逻辑?A. 编码器B. 计数器C. 译码器D. 多路选择器9. 在EDA技术中,以下哪个不是测试向量?A. 静态测试向量B. 动态测试向量C. 随机测试向量D. 固定测试向量10. 在数字电路设计中,以下哪个不是时序逻辑?A. 计数器B. 存储器C. 译码器D. 序列检测器二、填空题(每空1分,共20分)1. 在VHDL中,用于定义信号的关键字是________。
2. Verilog中的________运算符用于实现逻辑与操作。
3. EDA工具中的________用于生成电路的布局布线。
4. 在数字电路设计中,________是最基本的存储单元。
5. VHDL中的________语句用于定义条件执行。
6. 在Verilog中,________关键字用于定义始终块。
7. 在数字电路设计中,________是用于存储二进制信息的电路。
课程名称:EDA技术及应用专业年级:电信06级考生学号:考生姓名:试卷类型:A卷□B卷□考试方式: 开卷□闭卷□………………………………………………………………………………………………………一、填空题(20*1分=20分)1.FPGA和CPLD分别是和的简称,这两种器件在EDA技术的发展中担当着重要的角色。
2.A为实数类型的信号量,A<=16#0D.04#E+2;--A的值为。
3.若过程参量中只定义了IN模式而未定义目标参量类型,则该目标参量类型默认为;若只定义了INOUT或OUT模式,则默认目标参量类型为。
4.并行赋值语句有三种形式:、和。
共同特点就是赋值目标必须都是。
5.子程序有两种类型,即和。
6.V ARIABLE V1:STD_LOGIC_VECTOR(3 DOWNTO 0):=(“1101”);V1 SRL 1; --运行后V1的值为:V1 SLA 2; --运行后V1的值为:7.ASIC的中文含义是:。
8.在VHDL中,顺序语句只能出现在和中,一个进程是由一系列的语句构成,而进程与进程之间是的。
9.在元件例化语句中,对定义的例化元件的端口名与当前系统的连接实体端口名的接口表达有两种方式和。
二、判断题,正确的打“√”错误的打“×”(10*1分=10分)1.EXIT语句与NEXT语句唯一的区别是NEXT语句是跳向LOOP语句的起始点,而EXIT语句则是跳向LOOP语句的终点。
()2.在结构体中的语句属于顺序语句,但是进程与进程之间是并行的,在某一时刻,各个进程中的语句执行也是并行的。
()3.过程的调用不能返回值,而函数调用可以返回一个值。
()4.并行过程调用语句可以作为一个并行语句直接出现在结构体或块语句中。
()5.过程语句本身是并行的,但是过程体是由顺序语句组成的,对过程的调用即启动了对过程体的顺序语句的执行。
()6.当PROCESS的敏感信号参数表中没有列出任何敏感量时,进程的启动只能通过进程启动语句W AIT语句。
河北科技大学2010-2011学年第二学期《EDA技术》考试试卷1、EDAEDA 电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
2、VHDLVHSIC (Very High Speed Integrated Circuit) Hardware Description Language 是—种超大规棋集成电路,爱为满足军用高速信号处理、抗核辐射、故隐容限和芯片自检测要求而研制的。
3、CPLPCPLD(Complex Programmable Logic Duvicu)复杂可编程逻轻器件,曼从PAL和GAL器件发展出来的莽件,相对而言规模大,结构复杂,属于大规模集成电路范围。
爱一种用户根据各自需要而自行构造逻券功能的數宇集成电路4、FPGAFPGA (Field —Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
5、异步复位异步复位:它是指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位二1利用VHPL语言设计数宇系统具有哪些倚点?VHDL系统设计的基本点:(0与其他硬件描述语言相比,VHDL具有以下特点:(2)功能强大、设计艮活。
(3)强大的系统理件描述能力。
(4)易于共亭和复用。
2举例说明FPPA是如何通过查找表实现其逻辑功能的?在计算机科学中,査找表是用简单的查询操作替换运行时计算的數组或者associative array 这样的數据结构。
由于从內存中提取数值经常要比复杂的计算速度快很多,所以这样得到的速農提升是很显著的。
EDA試卷答案一、单项选择题1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→__A__→综合→适配→____B____→编程下载→硬件测试。
P14A. 功能仿真B. 时序仿真C. 逻辑综合D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A___。
P25A. 软IPB. 固IPC. 硬IPD. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_____D____是错误的。
P15A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。
C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过___A__实现其逻辑功能。
P42A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B___。
P274A. 器件外部特性B. 器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中___A___不属于面积优化。
P238A. 流水线设计B. 资源共享C. 逻辑优化D. 串行化8. 进程中的信号赋值语句,其信号更新是___B____。
P134A. 立即完成B. 在进程的最后完成C. 按顺序完成D. 都不对9. 不完整的IF语句,其综合结果可实现__A__。
P147A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路10. 状态机编码方式中,其中__A__占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。
P221A. 一位热码编码B. 顺序编码C. 状态位直接输出型编码D. 格雷码编码二、VHDL程序填空1. 下面程序是1位十进制计数器的VHDL描述,试补充完整。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT ( CLK : IN STD_LOGIC ;Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;END CNT10;ARCHITECTURE bhv OF CNT10 ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (CLK)BEGINIF CLK'EVENT AND CLK = '1' THEN -- 边沿检测IF Q1 > 10 THENQ1 <= (OTHERS => '0'); -- 置零ELSEQ1 <= Q1 + 1 ; -- 加1END IF;END IF;END PROCESS ;Q <= Q1;END bhv;2. 下面是一个多路选择器的VHDL描述,试补充完整。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bmux ISPORT ( sel : IN STD_LOGIC;A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)) ;END bmux;ARCHITECTURE bhv OF bmux ISBEGINy <= A when sel = '1' ELSEB;END bhv;三、VHDL程序改错仔细阅读下列程序,回答问题LIBRARY IEEE; -- 1 USE IEEE.STD_LOGIC_1164.ALL; -- 2 ENTITY LED7SEG IS -- 3 PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4 CLK : IN STD_LOGIC; -- 5 LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); -- 6 END LED7SEG; -- 7ARCHITECTURE one OF LED7SEG IS -- 8 SIGNAL TMP : STD_LOGIC; -- 9BEGIN -- 10 SYNC : PROCESS(CLK, A) -- 11BEGIN -- 12 IF CLK'EVENT AND CLK = '1' THEN -- 13 TMP <= A; -- 14 END IF; -- 15 END PROCESS; -- 16 OUTLED : PROCESS(TMP) -- 17 BEGIN -- 18 CASE TMP IS -- 19WHEN "0000" => LED7S <= "0111111"; -- 20WHEN "0001" => LED7S <= "0000110"; -- 21WHEN "0010" => LED7S <= "1011011"; -- 22WHEN "0011" => LED7S <= "1001111"; -- 23WHEN "0100" => LED7S <= "1100110"; -- 24WHEN "0101" => LED7S <= "1101101"; -- 25WHEN "0110" => LED7S <= "1111101"; -- 26WHEN "0111" => LED7S <= "0000111"; -- 27WHEN "1000" => LED7S <= "1111111"; -- 28WHEN "1001" => LED7S <= "1101111"; -- 29END CASE; -- 30 END PROCESS; -- 31 END one; -- 321.在程序中存在两处错误,试指出,并说明理由:第14行TMP附值错误第29与30行之间,缺少WHEN OTHERS语句2.修改相应行的程序:错误1 行号:9程序改为:TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号:29 程序改为:该语句后添加WHEN OTHERS => LED7S <= "0000000";四、阅读下列VHDL程序,画出原理图(RTL级)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY HAD ISPORT ( a : IN STD_LOGIC;b : IN STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC);END ENTITY HAD;ARCHITECTURE fh1 OF HAD ISBEGINc <= NOT(a NAND b);d <= (a OR b)AND(a NAND b);END ARCHITECTURE fh1;五、请按题中要求写出相应VHDL程序1.带计数使能的异步复位计数器输入端口:clk 时钟信号rst 异步复位信号en 计数使能load 同步装载data (装载)数据输入,位宽为10 输出端口:q 计数输出,位宽为10LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT1024 ISPORT ( CLK, RST, EN, LOAD : IN STD_LOGIC;DATA : IN STD_LOGIC_VECTOR (9 DOWNTO 0);Q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); END CNT1024;ARCHITECTURE ONE OF CNT1024 ISBEGINPROCESS (CLK, RST, EN, LOAD, DATA) VARIABLE Q1 : STD_LOGIC_VECTOR (9 DOWNTO 0); BEGINIF RST = '1' THEN Q1 := (OTHERS => '0'); ELSIF CLK = '1' AND CLK'EVENT THEN IF LOAD = '1' THEN Q1 := DATA; ELSEIF EN = '1' THENQ1 := Q1 + 1;END IF; END IF;END IF;Q <= Q1;END PROCESS;END ONE;2. 看下面原理图,写出相应VHDL 描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY TRI_STATE IS PORT ( E, A : IN STD_LOGIC; Y : INOUT STD_LOGIC;B : OUT STD_LOGIC);END TRI_STATE;ARCHITECTURE BEHAV OF TRI_STATE IS BEGIN PROCESS (E, A, Y) BEGIN IF E = '0' THENB <= Y;ea b yY <= 'Z'; ELSEB <= 'Z';Y <= A;END IF;END PROCESS;END BEHAV;六、综合题下图是一个A/D 采集系统的部分,要求设计其中的FPGA 采集控制模块,该模块由三个部分构成:控制器(Control )、地址计数器(addrcnt )、内嵌双口RAM (adram )。