modelsim新手入门仿真教程

  • 格式:docx
  • 大小:2.07 MB
  • 文档页数:9

下载文档原格式

  / 9
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Modelsim新手入门仿真教程

1.打开modelsim软件,新建一个library。

2.library命名

3.新建一个工程。

3.出现下面界面,点击close。

4.新建一个verilog文件

键入主程序。下面以二分之一分频器为例。

文件代码:

module half_clk(reset,clk_in,clk_out); input clk_in,reset;

output clk_out;

reg clk_out;

always@(negedge clk_in)

begin

if(!reset)

clk_out=0;

else

clk_out=~clk_out;

end

endmodule

编辑完成后,点击保存。

文件名要与module后面的名称相同。

5.再新建一个测试文件,步骤同上面新建的主程序文件,文件名后缀改为.vt

程序代码如下:

`timescale 1ns/100ps

`define clk_cycle 50

module top;

reg clk,reset;

wire clk_out;

always #`clk_cycle clk=~clk;

initial

begin

clk=0;

reset=1;

#10 reset=0;

#110 reset=1;

#100000 $stop;

end

half_clk m0(

.reset(reset),

.clk_in(clk),

.clk_out(clk_out));

Endmodule

6.添加文件,编译文件

先右键点击左边空白处,选择add to project→existing File

选择刚刚新建的两个文件。按ctrl键可以同时选择两个,选择打开,下一步点击ok

7选中其中一个,右键,选择complie→complie all

出现两个勾,说明编译通过。出现×,说明文件编译出错,双击×,可以查看错误。

8.终于可以仿真了

选择simulate→start simulate。

选择刚刚新建的库里面的top,或者测试文件名称,左下角的enable optimization一定要去掉勾,有勾的画不会出来波形,一定要去掉勾!一定要去掉勾!一定要去掉勾!(本人也不清楚原因,都是初学者,嘻嘻)

跳出界面,右键测试文件,选择如下图

接下来,选择仿真,run→run all

点击缩小键,如图,就可以看到波形啦

到此结束!初学者,如有错误,欢迎指出。