当前位置:文档之家› 课程设计电子心率计设计

课程设计电子心率计设计

课程设计电子心率计设计
课程设计电子心率计设计

1.设计前言

心率是人体的一项重要生理参数,在现代医学中,心率对于血液循环和心脏功能领域的研究具有重要意义。心率计是医学中用来测量人体心率的装置,高精度心率计的研究开发历来是医学仪器领域的一项重要课题。本设计便旨在通过已学的电路和硬件知识,设计一款简易的数字心率计。在本设计中由于脉搏频率与心率相同,测量心率可以用测量脉搏近似得到,因此本设计将人体脉搏作为测量对象。本设计将采用multisim软件来绘制电路。

设计流程:

要实现对脉搏的测量,首先要用传感器测量得到脉搏信号。

信号得到后,因为原始信号比较微弱,需要用放大电路将其放大到一个合适的幅度。

放大后的信号中会夹杂有各种噪声,因此需要经过滤波电路对其进行滤波处理,以消除噪声,提高信号信噪比。

为使信号能够在计数器中实现计数,需要对信号进行整形处理,将信号由一个不规则信号整理为可用于计数的方波或脉冲信号。

信号经过整形后,由于设计要求实现在短时间内测量一分钟心率的功能,需要在计数前对信号进行倍频处理,以实现上述功能。

经过之前一系列处理后,信号将进入计数器进行计数,其中计数器需要用相应的定时器配合完成该步骤,定时器同样要实现短时间内测量一分钟心率的功能。

计数器输出的信号是可用于显示频显示的七位BCD码,将其连入显示频显示。同时将该信号送入比较器中与预设的数值进行比较,当测量值在预设范围之外时将通过报警电路进行LED灯报警,表示所测得的心率超出正常范围。

设计流程的图示如下:

附:心率的生理意义

人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张性降低,心交感中枢紧张性升高,心率加快。肾上腺素、去甲肾上腺素、甲状腺素等体液因素也会增快心率。此外,体温每升高1℃,心率加快12~20次/min。

2.电路设计正文

2.1压电传感器的选择

测量脉搏首先要用到压电传感器。压电传感器是以压电效应为工作基础,将被测量变化转换成由于材料受机械力产生的静电电荷或电压变化的传感器。压电效应可分为正压电效应和逆压电效应。正压电效应是指:当晶体受到某固定方向外力的作用时,内部就产生电极化现象,同时在某两个表面上产生符号相反的电荷;当外力撤去后,晶体又恢复到不带电的状态;当外力作用方向改变时,电荷的极性也随之改变;晶体受力所产生的电荷量与外力的大小成正比。压电式传感器大多是利用正压电效应制成的。 基于压电效应的传感器,它的敏感元件由压电材料制成,压电材料受力后表面产生电荷,此电荷经电荷放大器和测量电路放大和变换阻抗后就成为正比于所受外力的电量输出。压电式传感器用于测量力和能变换为力的非电物理量。

该设计使用HK-2000B 集成化脉搏传感器。HK-2000B 集成化脉搏传感器采用集成化工艺将力敏元件(PVDF 压电膜)、温度补偿元件、感温元件、信号调理电路集成在传感器内。它的输出是和脉压对应的0~1.0v

脉动模拟电压信号,其技术指标如下:

K-2000B 集成化脉搏传感器

K-2000B 集成化脉搏传感器输出信号幅度为0—1.0V 。已知灵敏度为2000uV/mmHg ,又人体正常情况下收缩压136—90mmHg ,舒张压86—60mmHg ,取收缩压作为峰值信号,可得

2722136=?

因此取输出信号峰值为300mV 左右。典型的输出信号即脉搏输出波形如下图所示:

电源电压 5~6V DC

压力量程 -50~+300mmHg 灵敏度 2000uV/mmHg 灵敏度温度系数 1×10-4/℃ 精度 0.5% 重复性 0.5% 迟滞:

0.5%

人体脉搏在60—150次/分钟属于正常范围,即正常频率为1—2.5Hz,可用一有效值107mV 的正弦信号与一幅值150mV的直流信号串联组合,用来模拟最初的脉搏输出信号,如下图所示:

在之后的电路设计与电路模拟中,就采用这种模拟信号来取代实际的传感器输出信号。

2.2放大电路的选择与设计

运放芯片选用LM324AD 芯片,初步选择把输出信号放大4倍,采用两个反相放大器串联接法,前后两级均放大2倍,电路和输出结果分别如下图所示:

通过仿真结果可知,放大后信号峰值约为1.2V ,实现了将原信号放大4倍的实验预期。

2.3滤波电路的选择与设计

由于放大电路所得到的输出信号中会有50Hz 的工频干扰和测量、放大等过程中产生的干扰,需要使用滤波器对输入信号进行滤波。由于人体最高脉搏频率为2.5Hz ,考虑到人在非正常情况下的心率最高可能达到240次/分钟,可将截止频率设置为4Hz 。为求更佳的滤波效果,选择压控电压源型二阶低通滤波器。

根据公式πω2/00=f ,21210*0/1C C R R =ωω,222110/)1()/1/1(/1/C R K R R C Q f -++=ω可得

πω80=

为满足以上3个条件,可用凑数法设计Ω=4501R ,ω3552=R ,uF C C 10021==,验证克制基本符合滤波器要求,如此可得品质因数为56.0=Q 。

相应电路图及仿真后结果如下图所示:

2.4整形电路的选择和设计

信号经过滤波后还不能直接用来计数,需要经过整形电路将波形整形为可用于计数的方波或脉冲信号。本设计采用施密特触发器作为整形元件,整形后得到方波信号。选用74LS14芯片作为整形元件,其元件引脚图与真值表如图所示:

由真值表可知,施密特触发器的功能是当输入信号高于触发器最大阈值电压时,触发器输出低电平;当输入信号下降至最低阈值电压时,触发器输出高电平。由此触发器就可以实现将不同类型的波形正形成方波信号的功能。

其中由于先前信号峰值未能到达触发器阈值电压,因此将放大电路的第一个反相器放大倍数改为3倍,即将电路中R2改为3k ,调整后整形成功。电路图与最后整形结果如下图

所示。

2.5倍频电路的选择和设计

根据设计要求,该心率计需要具有在短时间内测得1分钟心脏跳动次数的功能,因此需要在电路中加入倍频电路来实现快速测量的功能。假设需要在30秒内测得1分钟的心率,则需要2倍频电路,倍频所造成的额外误差在测量心率这个情景下可忽略不计。

本设计采用CMOS门电路组成的脉冲倍频器。其输出脉冲频率是输入脉冲频率的2倍,其电路如图所示。该线路由两个RC微分电路,一个反向器和一个2输入端与非门组成。各点对应的波形如图2所示。

工作原理:信号脉冲由输入端in加入,脉冲周期时间为T。当脉冲的正跳变经门“1”反向器后,在输出端a成负跳变,再经R2、C2构成的微分网络,产生负微分脉冲,加到“2”与非门的一个输入端,并使与非门的输出端由低电平转为高电平。接着,由+EC通过R2对电容C2充电,C2上的电位逐渐上升.直到等于与非门的转换电压值。输出端Out由高电平转为低电平,形成一个脉冲输出。该输出脉冲宽度由R2C2时间常数和与非门的转换电压值共同决定。当VTR(2)=Ec/2时,则输出单稳态脉冲宽度tu=0.69R2C2,,当输入脉冲的下降沿到来时,由R1、C1构成的微分网络产生负微分脉冲,加到“2”与非门其中的一个输入端,在输出端形成第2个输出脉冲。即该线路对应于输入脉冲的每个瞬变沿.都在输出端形成一个脉冲输出,实现了对输入脉冲的倍频。应注意的是.如果对电路参数不进行有意调节。其输出

脉冲的占空比不是50%,即输入脉冲宽度和RC时间常数之间关系的不同,占空比也不一样。

电路中的与非门采用7400芯片,其引脚图与逻辑图如下

电路设计如下

原输入信号为

经过倍频后输出信号为

两者对比可以看出,倍频后的电路信号虽然不是标准的二倍频输出状态,但该信号在原来信号的一个计数周期内产生了两个可用于计数的脉冲信号,还是起到了倍频的作用。

2.6倍频电路的选择和设计

经过倍频电路的输出信号可以用来计数,而用计数器对信号进行计数是整个设计中最重要的一环。计数需要用到计数器,74LS161是常用的四位二进制可预置的同步加法计数器,可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能,其引脚

和功能表如图所示。

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE数据输出端Q0~Q3

以及进位输出TC.

(TC=Q0·Q1·Q2·Q3·CET):

输入输出

C R CP L

D EP ET D3D2D1D0Q3 Q2Q1Q0

0 Ф Ф Ф Ф Ф Ф Ф Ф 0 0 0 0

1 ↑ 0 Ф Ф d c b a d c b a

1 ↑ 1 0 Ф Ф Ф Ф Ф Q3 Q2Q1Q0

1 ↑ 1 Ф 0 Ф Ф Ф Ф Q3 Q2Q1Q0

1 ↑ 1 1 1 Ф Ф Ф Ф 状态码加1

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。因为一个芯片只能数到8,因此需要用3个74LS161芯片。

电路设计如下所示。

2.7定时器的选择和设计

计数器必须与定时器连接共同作用,才能完成在30秒内测量一分钟的心率的工作。定时器可以采用NE555芯片。NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、

遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不

同频率之脉波讯号。

设计的电路和输出结果如下所示。

2.8译码器的选择和设计

计数器得到的信号是4位BCD码,需要经过译码后后才能显示到液晶显示频上。译码需要用到译码器,本设计采用CC4511芯片,其引脚图与逻辑结构图、内部结构图如下:

译码器译码后输出七段显示代码,输入显示频中即可得到数值

2.9定时器的选择

一般对病人的心率的时间选择为1分钟,且病人的每分钟心率也是医生参考的标准,而之前我们已经设计了一个2倍频的倍频电路,所以这里我们需要设计一个30秒得定时电路。在这里信号可采用多谐振荡器产生,在简单时基电路中,可以用555定时电路。 55定时器功能表:

输 入 输 出

TH

TR

d

R V O Dis

× <32V CC

<32V CC >3

2V CC × <31V CC

>31V CC

×

L H H H

L H 不变 L

导通 截止 不变 导通

555定时器功能表

器件取值:

振荡周期:T=T1+T2=(R1+R2)Cln2

振荡频率:f=1/T=1/(R1+R2)Cln2

设脉冲幅度大于3V小于5V,占空比为q=2/3,振荡周期为30秒则 q=(r1+r2)/(r1+r2)=2/3

故得 R1=R2

由此得 T=(r1+r2)Cln2=30 取C=2u

解得r1=r2=480k

设计的电路图如下所示

2.10比较器及报警过程的设计

心率的标准范围有三种:1,老人,100-150次/分;2,婴儿,90-120次/分;3,成人,60-90次/分。在这里我们需要预设号不同的上下限的数值预测的的心率值比较,如果有计数器输出的计数值超出了对应的范围,则表明是使用者心率异常,需要发出报警,以引起使用人和医生的重视。在这里我们选择的是74LS85D芯片,作为比较与报警部分的主要芯片。

74LS85D芯片引脚图与引脚功能如下:

设计的报警与比较部分电路图如下:

U10

74LS85D COMP

A

B A213B214A112B111OAGTB 5A010B0

9

A315B31OAEQB 6OALTB

7

AEQB 3ALTB 2AGTB 4U11

74LS85D COMP

A

B

A213B214A112B111OAGTB 5A010

B0

9

A315B31OAEQB 6OALTB

7

AEQB 3ALTB 2AGTB 4U12

74LS85D

COMP

A

B

A213B214A112B111OAGTB 5A010

B0

9

A315B31OAEQB 6OALTB 7

AEQB 3ALTB 2AGTB 46

543

21VCC 5V

R11kΩ

VCC

U1A 74HC04D_4V

U2B 74HC04D_4V

U3C 74HC04D_4V

U4D 74HC04D_4V

U5A 74HC04D_4V

U6C

74HC04D_4V

U1C

74HC04D_4V

U1D

74HC04D_4V

U2D

74HC04D_4V

U3D 74HC04D_4V

U2E

74HC04D_4V

U3F 74HC04D_4V

J1

8

U7

74LS85D

COMP A B

A213B214A112B111OAGTB 5

A010B09

A3

15

B31OAEQB 6OALTB 7AEQB 3ALTB 2AGTB 4U8

74LS85D COMP A B

A213B214A112B111OAGTB 5

A010B09A3

15

B31OAEQB 6OALTB 7AEQB 3ALTB 2AGTB 4U9

74LS85D

COMP

A

B

A213B214A112B111OAGTB 5

A010B09A3

15

B31OAEQB 6OALTB 7AEQB 3ALTB 2AGTB 4010141516

17

20

U4B 74HC04D_4V

U14D

74HC04D_4V U15E

74HC04D_4V

U16E 74HC04D_4V

U17E 74HC04D_4V

U6E

74HC04D_4V

21

7

13

19

1223

9

11

18

将以上所有电路连接后,所有的设计工作就全部完成了。

所得到的全设计总电路图如下所示:

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

相关主题
文本预览
相关文档 最新文档