24进制计数器proteus实验报告
- 格式:docx
- 大小:26.63 KB
- 文档页数:3
EDA实验报告书2012-06-12MIIUfllU TJIUlH3期:I实 验 结 果 波 形 图 仿 直 呷吶:呷测阿 吧呗耐呷》»»冒—呷mnrnTHEN C0UT<='1:CnT<='0:* CG * C3於"Id^i43 C|A EE 切]1| 斗*01i|W i 1 | N>Tjr,「3|ELSIF CG="1001" THEN CG<="0000"; CS<=CS+1; ELSE CG<=CG+1; END IF; END IF; END IF;IF (CS="0010" AND CG="0011") ELSE COUT<='0';if(CS="0010" AND CG="0010") then Cn t<='1'; END IF;IF (CS="0000" AND CG="OOOO")THEN END IF; END IF; CQ<=CG; CP<=CS; END PROCESS; END BBQ;Ltf eour 叮起G *cmIKg伽mi^hi14All^i1血MHiSh世如EH RDDelay Mahx zi ・h ・问题讨论去掉报时程序为LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY GUOXIN ISPORT(CLK,RD,EN:IN STD_LOGIC;CQ,CP:OUT STD_LOGIC_VECTOR(DOWNTO 0);COUT:OUT STD_LOGIC;Cn t:OUT STD_LOGIC);END GUOXIN;ARCHITECTURE BBQ OF GUOXIN ISSIGNAL CG: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CS: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(CLK,RD,EN)BEGINIF RD='1' THEN CG<="0000";CS<="0000";ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF (CS="0010" AND CG="0011") THENCG<="0000";CS<="0000";ELSIF CG="1001" THEN CG<="0000";CS<=CS+1;ELSE CG<=CG+1;END IF;END IF;END IF;IF (CS="0010" AND CG="0011")COUT<='1‘;ELSETHENCOUT<='0‘;ELSECnT<='0‘;教师签名 ___________日期。
二十四进制计数器实验报告实验成员:88155010 李仲哲88155037 周梓成硬件:DE2-70驱动板实验要求:使用Verilog硬件描述语言设计一个基本时序逻辑电路1位24进制计数器,并可控制加减。
并利用驱动板自带晶振。
实验步骤:首先创建新工程,编写Verilog HDL语言设计代码。
代码如下:module qwe(iclk,rst_n,flag,q,overflow);input iclk,flag; --------------------------------输入端口声明。
input rst_n;--------------------------------------输出端口声明。
output reg [4:0] q; ---------------------------- 分配输出信号灯q0,q1,q2,q3,q4。
integer i;output overflow;wire clk_1Hz;Divider50MHz (1'b1,iclk,i1hz); -----------------引用分频器代码Divider50MHz.v中的变量i1hz。
always @(posedge i1hz or negedge rst_n)beginif(~rst_n) q <= 5'h0;elsebeginif(flag) i = 1; ----------------------------------当变量为1时计数器加。
else i = -1; ---------------------------------反之计数器减。
if(5'h17 == q) q <= 5'h0;else q <= q + i;endendassign overflow = 5'h17 == q;其中flag为控制加减开关变量,我们为其分配开关SW0引脚为PIN_AA23。
24进制计数器逻辑功能及其应用一、实验目的:1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。
2. 掌握构成计数器的方法。
二、实验设备及器件:1. 数字逻辑电路实验板1片2. 74HC90同步加法二进制计数器2片3. 74HC00二输入四与非门1片4. 74HC04 非门1片三、实验原理:计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。
根据计数的增减趋势,又分为加法、减法和可逆计数器。
还有可预置数和可编程序功能计数器等等。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。
集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。
四、实验内容实验电路图:用74HC00与非门和74HC04的非门串联,构成与门。
74HC00的引脚图和真值表如图:74HC04的引脚图与真值表如图:按实验电路图,参照各个芯片的引脚图和真值表,连接电路。
其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。
实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。
五、实验心得:本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。
..1. 设计任务1.1设计目的1. 了解计数器的组成及工作原理。
2. 进一步掌握计数器的设计方法和计数器相互级联的方法。
3. 进一步掌握各芯片的逻辑功能及使用方法。
4. 进一步掌握数字系统的制作和布线方法。
5. 熟悉集成电路的引脚安排。
1.2 设计指标1. 以24为一个周期,且具有自动清零功能。
2. 能显示当前计数状态。
1.3 设计要求1. 画出总体设计框图,以说明计数器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向。
并以文字对原理作辅助说明。
2. 设计各个功能模块的电路图,加上原理说明。
3. 选择合适的元器件,利用multisim 仿真软件验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在确定电路充分正确性同时,输入信号和输出方式要便于电路的测试和故障排除。
4. 在验证各个功能模块基础上,对整个电路的元器件和布线进行合理布局。
5.打印PCB 板,腐蚀,钻孔,插元器件,焊接再就对整个计数器电路进行调试。
2.设计思路与总体框图.计数器由计数器、译码器、显示器三部分电路组成,再由555定时器组成的多谐振荡器来产生方波,充当计数脉冲来作为计数器的时钟信号,计数结果通过译码器显示。
图1所示为计数器的一般结构框图。
2CRCR CR▲图 1 计数器结构框图3.系统硬件电路的设计3.1 555多谐荡电路555多谐振荡电路由NE555P 芯片、电阻和电容组成。
由NE555P 的3脚输 出方波。
▲图 2 555电路计数脉冲(由555电路产生)异步清零计数器个位位数码示像译码驱动CP CP强制清零3.2 计数器电路集成计数芯片一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。
有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。
《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。
2、要求具备使能功能和异步清零功能。
3、设计完成后生成一个元件,以供更高层次的设计调用。
4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。
把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。
然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。
五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。
数电实验报告实验名称可编程逻辑器件制作任意进制计数器学院自动化学院年级班别学号学生姓名指导教师年月日用可编程逻辑器件设计计数器任意进制计数器一、实验目标1)掌握中规模集成计数器的逻辑功能,以及用中规模集成技术器构成任意进制计数器的方法2)熟悉译码器和数据显示器的使用方法3)了解数字可编程器件实现的集成计数、译码电路功能二、实验方案+步骤用中规模集成计数器(74LS160)设计一个二十四进制计数器,并与译码、显示电路连接起来。
⑴ 设计总框架:⑵ 设计总原理图如下:⑶ 分步分析:①分频器模块:分频器 计数器 B C D 七段字符显示译码器 数码管50MHz 2Hz BCD 码 译码输出本实验采用DEII 板进行验证,DEII 板上有两个内置的频率源,它们的振荡频率分别是50MHz 与27MHz 。
但是这样的频率对于我们时序电路的应用而言,显然太高了。
为此我们在内置频率源后应加一个分频器(74LS292),以得到我们需要的比较适中的频率(比如1~2Hz )DE2上有内置的50MHz 时钟CLOCK_50EDCBA = (11001 )2= (25)10②计数器模块本实验采用两片10进制计数器74LS160芯片来进行24进制计数器的设计。
③显示模块由实验板的数码管是共阳性,所以采用7446译码器来驱动。
三、时序仿真①计数器模块24个脉冲输出一个进位脉冲,即代表24进制。
②显示模块Hz MHz CLK f Q 6.1250212525≈==四、实验验证实验板上的两个数码管循环显示数字从0-23,即实现24进制电路的设计。
五、实验心得本实验主要需要先想好要用什么芯片来设计24进制电路,记忆最后需要用什么译码器来显示结果。
24进制的电路设计原理可以推广到其他任意进制的设计。
实验一实验二1、24进制加法计数器的程序:LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count24 ISPORT(en,clk: IN STD_LOGIC;qa: out STD_LOGIC_VECTOR(3 DOWNTO 0);--个位数计数qb: out STD_LOGIC_VECTOR(1 DOWNTO 0));--十位数计数END count24;ARCHITECTURE a1 OF count24 ISBEGINprocess(clk)variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);variable tmb: STD_LOGIC_VECTOR(1 DOWNTO 0);beginif clk'event and clk='1' thenif en='1' thenif tma="1001" then tma:="0000";tmb:=tmb+1;Elsif tmb="10" and tma="0011" then tma:="0000";tmb:="00";else tma:=tma+1;end if;end if;end if;qa<=tma;qb<=tmb;end process;END a1;2,60进制的加法器的实验程序LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count60 ISPORT(en,clk: IN STD_LOGIC;qa: out STD_LOGIC_VECTOR(3 DOWNTO 0);--个位数计数qb: out STD_LOGIC_VECTOR(2 DOWNTO 0));--十位数计数END count60;ARCHITECTURE a1 OF count60 ISBEGINprocess(clk)variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);variable tmb: STD_LOGIC_VECTOR(2 DOWNTO 0);beginif clk'event and clk='1' thenif en='1' thenif tma="1001" and tmb="101"then tmb:="000";tma:="0000" ;Elsif tma="1001" then tma:="0000";tmb:=tmb+1;else tma:=tma+1;end if;end if;end if;qa<=tma;qb<=tmb;end process;END a1;实验三9、采用VHDL语言描述以上3-8译码器LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY s3_8 ISPORT ( A : IN STD_LOGIC_VECTOR(2 DOWNTO 0);D : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ;END ;ARCHITECTURE one OF s3_8 ISBEGINPROCESS( A )BEGINCASE A ISWHEN "000" => D <= "00000001" ;WHEN "001" => D <= "00000010" ;WHEN "010" => D <= "00000100" ;WHEN "011" => D <= "00001000" ;WHEN "100" => D <= "00010000" ;WHEN "101" => D <= "00100000" ;WHEN "110" => D <= "01000000" ;WHEN "111" => D <= "10000000" ;WHEN OTHERS => NULL ;END CASE ;END PROCESS ;END ;实验四1、用VHDL语言设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。
一.课程设计目的《电子技术基础2-2课程设计》是学习理论课程之后的实践教学环节。
目的是通过解决比较简单的实际问题巩固和加深在《电子技术基础2-2(数字电子技术基础)》课程中所学的理论知识和实验技能。
训练学生综合运用学过的电子技术基础知识,在教师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。
使学生初步掌握数字电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力,为后续课程的学习、毕业设计和毕业后的工作打下一定的基础。
数字电子课程设计是理论教学之后的一个综合性实践教学环节,是对课程理论和课程实验的综合和补充。
学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。
不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。
理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。
在设计的过程遇到各种各样的问题,同时在设计的过程中发现自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固所学的知识。
二.设计方案论证1.设计方案的选择通过查阅资料对不同的设计方案进行比较论证,根据现有条件选择合适的设计方案,Multisim有其丰富的仿真分析能力以及完整的电路原理图图形输入和电路硬件描述语言输入方式,结合了直观的捕捉和功能强大的仿真特点,能快速、轻松、高效地对电路进行设计和验证。
引入Multisim软件帮助我们快速且轻松地将刚学到的理论知识用计算机仿针真实地再现出来。
专业技能训练4题目:用VHDL设计8421BCD码24进制计数器班级:电子科学与技术1201姓名:王启正学号:120803039时间:2015.5—2015.6一、技能训练项目名称运用VHDL语言进行编程设计一个8421BCD码24进制计数器二、实训目的1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
四、基本原理(附源程序清单,原理图、RTL图)1、通过VHDL语言编程方法程序清单:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY lin IS PORT(CLK :IN STD_LOGIC; --时钟EN :IN STD_LOGIC; --使能端CR :IN STD_LOGIC; --清零端,低电平有效LD :IN STD_LOGIC; --数据载入控制,低电平有效D :IN STD_LOGIC_VECTOR(5 DOWNTO 0); --载入数据端CO : OUT STD_LOGIC; --进位Q :OUT STD_LOGIC_VECTOR(5 DOWNTO 0) --计时输出);END lin ;ARCHITECTURE a OF lin IS SIGNALQN :STD_LOGIC_VECTOR(5 DOWNTO 0);BEGIN --进位控制 CO<='1' WHEN(QN=X"23" AND EN='1')ELSE'0';PROCESS(CLK,CR)BEGINIF (CR='0')THENQN<="000000";ELSEIF (CLK'EVENT AND CLK='1') THENIF (LD='0') THEN --数据加载 QN<=D;ELSIF(EN='1') THENIF (QN(3 DOWNTO 0)=3 and QN(5 DOWNTO 4)=2) or QN(3 DOWNTO 0)=9 THENQN(3 DOWNTO 0)<="0000"; --个位数进位IF QN(5 DOWNTO 4)=2 THENQN(5 DOWNTO 4)<="00"; --十位数进位ELSEQN(5 DOWNTO 4)<= QN(5 DOWNTO 4)+1;END IF;ELSEQN(3 DOWNTO 0)<= QN(3 DOWNTO 0)+1;END IF ;END IF;END IF ;END IF;END PROCESS;Q<=QN;end a;2、原理图:3、RTL图:五、仿真调试:调试过程中,输出波形为24进制波形图。
石家庄经济学院信息工程学院电子信息工程与通信工程专业电子技术课程设计报告题目:篮球竞赛24s计时器姓名李韫思学号411109060108班级4111090601指导教师甄丽萍2013 年7 月4 日要求:1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。
2.课程设计任务书由指导教师照大纲要求填写,内容要全面。
3.课程设计报告由参加本学生填写。
课程设计结束时交指导教师。
(电子稿一份)4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。
5.课程设计任务书和报告要语言流畅,图表正确规范。
6.本表要用钢笔、圆柱笔填写或打印,字迹工整。
课程设计任务书班级 4111090601 姓名李韫思学号 411109060108课程设计题目篮球竞赛24s计时器课程设计起止日期 2013年6月17日至 2013年7月4日实习地点实验楼105课程设计内容与要求一、设计要求用中小规模集成芯片设计并制作篮球竞赛24秒计时器,具体要求如下:1. 具有显示 24s 倒计时功能:用两个数码管显示,其计时间隔为1s。
2.设置启动和停止键,控制计时器的直接启动计数、停止计数功能。
3. 暂停/继续键,控制计时器的暂停/继续计数。
4. 计时器递减计数到“00”时,发出声光报警信号。
(红灯亮、蜂鸣器报警)5. 用仿真软件(EWB或PROTEUS)对电路进行仿真。
二、扩展1. 计数状态显示,24S-10S时绿灯亮,09S-01S时黄灯闪烁,00S时报警。
2. 外部操作开关采用消抖措施,以防机械抖动造成电路工作不稳定。
指导教师甄丽萍2013 年 6月 17日课程设计报告一、设计原理与技术方法:1.1原理分析,画原理框图24秒计时器的总体方框图如图1.1所示。
它包括秒脉冲发生器,计数器,译码显示电路,报警电路和控制电路等五个部分组成。
其中计数电路是系统的主要部分。
计数器完成24秒计时功能,而控制电路完成计数器的清零,启动,暂停等功能。
24进制计数器proteus实验报告24进制计数器是在电子系统的设计中经常使用的计数器,具有高速、稳定、精度高等特点,因此在各种计数相关的操作和应用中被广泛使用。
本次实验的目的是在Proteus软件中实现24进制计数器,并验证其计数功能和参数的准确性。
实验器材:
- Proteus软件
-一块PIC16F877A单片机
-一个24进制数码管
实验原理:
24进制计数器是指计数器的基数为24,即每一次计数器加1所表示的是24进制数中的1。
在本次实验中,我们将采用PIC16F877A单片机来实现24进制计数器,通过单片机来对计数器的计数值进行控制和显示。
具体实现需要根据PIC16F877A的编程和控制的特点,针对24进制计数器设计适当的算法与操作。
实验过程:
1.根据24进制计数器的原理,确定计数器所采用的基数为24,编写程序,对PIC16F877A进行初始化和IO口配置。
2.在Proteus软件中,添加PIC16F877A单片机和24进制数码管,并连接需要的电路。
3.编写程序,设置计数范围,并实现对计数值的加1和显示。
4.进行仿真测试,查看计数器的正确性和稳定性。
5.通过调整程序和电路参数,优化计数器的性能和准确性。
实验结果:
经过一系列的设计和测试,实验结果表明,本次24进制计数器的
实验操作成功,可以实现稳定的计数功能。
在计数器运行的过程中,
可以正确显示当前的计数值,并能够正常进行加1操作。
同时,在根
据实际需要调整计数范围和显示参数的过程中,可以使用该计数器进
行更加精确和高效率的计数操作。
实验结论:
通过本次实验的操作和测试,可以有效地理解和应用24进制计数
器的原理和实现方法,掌握PIC16F877A单片机作为控制器的实现技术。
该计数器具有高速、稳定和精度高等特点,在各种计数相关的操作和
应用中具有广泛的实用价值。