分频器实验
- 格式:doc
- 大小:116.00 KB
- 文档页数:7
《数控分频实验》姓名:谭国榕班级:12电子卓越班学号:201241301132一、实验目的1.熟练编程VHDL语言程序。
2.设计一个数控分频器。
二、实验原理本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。
三、实验步骤1.任意奇数分频程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DIV1 ISPORT(CLK:IN STD_LOGIC;D:IN INTEGER RANGE 0 TO 255;K1,K2,K_OR:OUT STD_LOGIC);END;ARCHITECTURE BHV OF DIV1 ISSIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL M1,M2:STD_LOGIC;--SIGNAL OUT1,OUT2,OUT3:STD_LOGIC;BEGINPROCESS(CLK,TEMP3) BEGINIF RISING_EDGE(CLK) THENIF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF;IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF;END PROCESS;PROCESS(CLK,TEMP4) BEGINIF FALLING_EDGE(CLK) THENIF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF;IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;END IF; END IF;END PROCESS;K1<=M1; K2<=M2; K_OR <=M1 OR M2;END BHV;此段程序最主要的部分为:PROCESS(CLK,TEMP3) BEGINIF RISING_EDGE(CLK) THENIF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF;IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF;END PROCESS;PROCESS(CLK,TEMP4) BEGINIF FALLING_EDGE(CLK) THENIF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF;IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2; END IF; END IF;END PROCESS;在这里,我通过研究书上的占空比为50%的5分频电路的程序,通过实验发现了一个规律,就是书上的C1="100",在奇数任意分频中为输入信号减一,即D-1,而在第二个if里,5分频为C1="001",7分频为C1="010",9分频为C1="011",以此类推,则不难发现:5-4=1;7-5=2;9-6=3.。
分频器设计实验报告竭诚为您提供优质文档/双击可除分频器设计实验报告篇一:n分频器分析与设计一、实验目的掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。
二、实验原理分频是对输入信号频率分频。
1、cD4017逻辑功能2、74190/74191逻辑功能3、集成计数器级联当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。
方法分为异步级联和同步级联。
4、集成计数器的编程在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。
可采用复位编程和置数编程两种。
5、多片74190/74191计数器级联可根据具体计数需求和增减需求,选用74190或74191,选择不同功能、同步或异步设计等。
6、74190/74191计数器编程由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。
可根据需求设计n进制加法或减法计数器。
n与译码逻辑功能如下。
7、74191组成(n-1/2)分频器电路如下图:u3计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。
三、实验仪器1、直流稳压电源1台2、信号发生器1台3、数字万用表1台4、实验箱1台5、示波器1台四、仿真过程1、按照cD4017和74191功能表验证其功能。
2、74191组成可编程计数器(1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。
设计图如下仿真波形如下(2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。
设计图如下:仿真波形如下篇二:数字逻辑实验报告(5分频器)实验报告课程名称:实验项目:姓名:专业:班级:学号:数字逻辑实验5分频器的原理及实现计算机科学与技术计算机14-8班计算机科学与技术学院实验教学中心20XX年12月15日实验项目名称:5分频器的原理及实现一、实验要求设计一个5分频器,使输出信号的频率是时钟脉冲信号频率的1/5。
奇数分频器实验报告1. 背景奇数分频器是一种电子电路模块,主要用于将输入的时钟信号进行分频,并输出比输入频率低的信号。
奇数分频器的主要应用场景包括计数器、时钟频率降低等。
2. 分析2.1 奇数分频器的工作原理奇数分频器通常采用了三角波类型的振荡器来产生输入时钟信号,并通过相应的逻辑门电路对时钟信号进行分频。
常见的奇数分频器包括模2、模4和模8等。
以模8奇数分频器为例,其工作原理如下:1.奇数分频器接收输入时钟信号,并通过一个振荡器产生三角波类型的输入信号。
2.输入信号经过逻辑门电路进行分频,产生分频后的信号。
3.输出信号经过滤波电路以去除杂散信号。
4.输出信号即为输入信号的1/8。
2.2 奇数分频器的主要特点奇数分频器的主要特点包括:•输入输出频率比例为奇数,如1/2、1/4、1/8等;•分频系数固定,无法调节;•输出信号的相位与输入信号相同;•输出信号的波形稳定,幅值与输入信号相同。
2.3 奇数分频器的设计要求为了设计一个稳定可靠的奇数分频器,需要满足以下要求:•选用合适的逻辑门电路,以实现所需的分频倍数;•设计合适的滤波电路,以去除杂散信号;•保证输入输出电路的匹配性,以确保信号的传输稳定性;•选择合适的元器件,以满足设计要求,并考虑成本和可获得性。
3. 实验步骤及结果3.1 实验步骤本实验以模8奇数分频器为例,设计了以下实验步骤:1.准备实验所需的元器件和设备。
2.搭建电路原型。
3.设计逻辑门电路,实现1/8分频。
4.测试电路,检查信号传输和波形稳定性。
5.调整滤波电路,优化输出信号质量。
6.记录实验数据。
3.2 实验结果在实验中,成功搭建了模8奇数分频器电路,并进行了测试。
实验结果表明,输入信号的频率为100kHz,输出信号的频率为12.5kHz,且波形稳定。
4. 结论在本次实验中,我们成功设计了一个模8奇数分频器,实现了1/8分频。
实验结果表明,输入信号经过分频后,输出信号的频率比例为奇数,并且波形稳定,符合设计要求。
分频器设计实验报告一、实验目的本次实验的主要目的是设计并实现一个分频器,以深入理解数字电路中频率分频的原理和实现方法,掌握相关的电路设计和调试技能。
二、实验原理分频器是一种数字电路,用于将输入的时钟信号的频率降低为原来的 1/N,其中 N 为分频系数。
常见的分频器有偶数分频器和奇数分频器。
偶数分频器的实现相对简单,可以通过计数器来实现。
当计数器计数值达到分频系数的一半时,输出信号翻转,从而实现偶数分频。
奇数分频器的实现则较为复杂,通常需要使用多个触发器和组合逻辑电路来实现。
在本次实验中,我们将分别设计一个偶数分频器(以 4 分频为例)和一个奇数分频器(以 3 分频为例)。
三、实验器材1、数字电路实验箱2、示波器3、逻辑分析仪4、集成电路芯片(如 74LS 系列芯片)四、实验步骤(一)4 分频器的设计与实现1、原理图设计使用 74LS161 四位二进制同步计数器作为核心器件。
将计数器的时钟输入端连接到输入时钟信号。
将计数器的输出端 Q2 和 Q0 通过与门连接到一个 D 触发器的时钟输入端。
将 D 触发器的输出端作为 4 分频器的输出。
2、硬件连接按照原理图在数字电路实验箱上进行芯片的插装和连线。
3、调试与测试输入一个频率稳定的时钟信号。
使用示波器观察输入时钟信号和输出分频信号的波形,验证是否实现了 4 分频。
(二)3 分频器的设计与实现1、原理图设计使用两个 D 触发器和一些组合逻辑门(如与门、或门等)来实现 3 分频。
第一个 D 触发器的输出作为第二个 D 触发器的输入。
通过组合逻辑门对两个 D 触发器的输出进行处理,得到 3 分频的输出信号。
2、硬件连接按照原理图在数字电路实验箱上进行芯片的插装和连线。
3、调试与测试输入一个频率稳定的时钟信号。
使用示波器观察输入时钟信号和输出分频信号的波形,验证是否实现了 3 分频。
五、实验结果(一)4 分频器实验结果通过示波器观察输入时钟信号和输出分频信号的波形,发现输出信号的频率为输入信号频率的 1/4,成功实现了 4 分频功能。
分频器实验报告分频器实验报告引言:分频器是电子电路中常见的一种器件,它可以将输入信号的频率降低或提高到所需的频率范围内。
在本次实验中,我们将通过搭建一个简单的分频器电路来研究其工作原理和性能。
实验目的:1. 了解分频器的基本原理和工作方式;2. 掌握分频器的搭建方法;3. 研究不同参数对分频器性能的影响。
实验器材:1. 信号发生器;2. 电阻、电容、电感等被动元件;3. 示波器;4. 电源。
实验步骤:1. 搭建分频器电路:根据实验要求,选择合适的被动元件和电路拓扑,搭建分频器电路。
2. 连接信号发生器:将信号发生器的输出端与分频器电路的输入端相连。
3. 连接示波器:将示波器的探头分别连接到分频器电路的输入端和输出端。
4. 设置信号发生器:根据实验要求,设置信号发生器的频率和幅度。
5. 测试分频器性能:通过示波器观察分频器输入信号和输出信号的波形,并记录相关数据。
6. 改变参数:根据实验要求,逐步改变分频器电路中的参数,如电阻、电容、电感等,观察其对分频器性能的影响。
7. 分析实验结果:根据实验数据和观察结果,分析分频器的工作原理和性能特点。
实验结果:通过实验观察和数据记录,我们得到了以下实验结果:1. 分频器的工作频率范围:根据实验所用的被动元件和电路拓扑,我们确定了分频器的工作频率范围。
2. 分频比的变化:通过改变分频器电路中的参数,我们观察到了分频比的变化情况,并记录了相应的数据。
3. 分频器的输出波形:通过示波器观察,我们得到了分频器输出信号的波形,并分析了其特点。
讨论与分析:根据实验结果,我们可以得出以下结论:1. 分频器的工作原理:分频器通过改变输入信号的频率来实现频率的降低或提高。
2. 分频器的性能特点:分频器的性能受到电路拓扑和被动元件参数的影响,不同的参数设置会导致不同的分频比和输出波形。
结论:通过本次实验,我们深入了解了分频器的工作原理和性能特点。
分频器作为一种常见的电子电路器件,在通信、计算机等领域有着广泛的应用。
数字电路-13任意进制分频器实验一. 实验目的1. 掌握任意进制分频器的设计方法。
2. 掌握同步计数器74LS161多级级联的方法。
3. 研究不同连接方式时对分频数的影响。
二. 实验原理分频器和计数器是数字电路和自动控制电路中极重要的一种单元电路,分频器由最 高位输出分频模数,计数器由其内部各级触发器输出不同的计数模数。
随着中规模电路的出现,分频器的设计方法,主要是合理灵活应用计数器芯片,实现任意进制分频。
74LS161是一种四位二进制可预置的同步加法计数器,图13-1是其引脚图,表13-1 是其功能表。
图13-1 74LS161-163引脚图 图13-2 7分频电原理图表13-1 74LS161功能表从功能表中可知,当清零端C R =“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”, 为异步复位功能。
当C R =“1”且L D =“0”时,在CP 脉冲上升沿作用后,74LS161的输出端Q 3、Q 2、Q 1、Q 0的状态分别与并行数据输入端D 3,D 2,D 1,D 0的状态相同,为同步置数功能。
而当C R =L D =“1”、EP 、ET 中有一个为“0”时,计数器不计数,输出端状态保持不变。
只有当C R =L D =EP=ET=“1”、CP 脉冲上升沿作用后,计数器加1。
此外74LS161还有一个进位输出端C O ,其逻辑关系是C O = Q 3Q 2Q 1Q 0 ET 。
合理应用计数器的清零功能和置数功能,一片74LS161可以构成16以下的任意进制 分频器。
(1)用异步清零功能设计16以下任意进制分频器图13-2是构成7分频的电原理图。
图中每个时钟(CP )脉冲作用后,74LS161就加“1”,当Q2=Q1=Q=“1”时,74LS20输入全“1”、输出为“0”。
计数器立即复位并重新开始计数。
74LS161输出端随时钟脉冲输入的变化规律列于表13-2。
每输入7个时钟脉冲,复位控制与非门的输出端就有一个很窄的负脉冲,脉冲的宽度约为2tpd时间。
实验五数控分频器的设计一、设计目的1、学习数控分频器的设计、分析、测试方法;2、牢固掌握用VHDL语言编写程序的方法和技巧。
二、设计要求1、编写数控分频器的VHDL源程序;2、在MAX+PLUSII上进行编译、综合、适配、引脚锁定、下载测试;3、输入不同的CLK和预置值进行仿真波形的测试;4、写出设计性实验报告。
三、设计提示1、实验原理提示:数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,可用计数值可并行预置的加法计数器设计完成,方法是将计数溢出位与预置数加载输入信号相接即可。
2、引脚锁定及下载测试提示:如果目标器件是EPF10K10,建议选实验电路模式1,键2 / 键1(PIO7-PIO0)负责输入8位预置数D;CLK由clock0输入,频率可选65536Hz或更高(确保分频后落在音频范围);输出FOUT接扬声器(SPKER:PIN3)。
编译下载后进行硬件测试:改变键2 / 键1的输入值,可听到不同音调的声音。
四、实验报告要求根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;设计原程序,程序分析报告、仿真波形图及其项目分析。
五、实验思考和总结1、阐述程序设计中进程的作用。
2、对所完成的实验进行总结和分析。
3、写出完成时钟上升沿的语句。
4、5、程序清单:附:数控分频器的设计程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY PULSE ISPORT ( CLK : IN STD_LOGIC;D : IN STD_LOGIC_VECTOR(7 DOWNTO 0);FOUT : OUT STD_LOGIC );END;ARCHITECTURE one OF PULSE ISSIGNAL FULL : STD_LOGIC;BEGINP_REG: PROCESS(CLK)VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK = '1' THENIF CNT8 = "11111111" THENCNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8 FULL <= '1'; --同时使溢出标志信号FULL输出为高电平ELSE CNT8 := CNT8 + 1; --否则继续作加1计数FULL <= '0'; --且输出溢出标志信号FULL为低电平END IF;END IF;END PROCESS P_REG ;P_DIV: PROCESS(FULL)VARIABLE CNT2 : STD_LOGIC;BEGINIF FULL'EVENT AND FULL = '1'THEN CNT2 := NOT CNT2;--如果溢出标志信号FULL为高电平,D触发器输出取反IF CNT2 = '1' THEN FOUT <= '1';ELSE FOUT <= '0';END IF;END IF;END PROCESS P_DIV ; END;。
分频器实验报告
《分频器实验报告》
实验目的:通过实验分频器的工作原理,了解其在电子电路中的应用。
实验器材:信号发生器、示波器、分频器、电压表、电流表、导线等。
实验原理:分频器是一种能够将输入信号按照一定的频率分成不同频率的输出
信号的电路。
在实验中,我们将使用信号发生器产生一个特定频率的信号,然
后通过分频器将其分成不同频率的输出信号,最后通过示波器观察输出信号的
波形。
实验步骤:
1. 将信号发生器的输出端连接到分频器的输入端,将分频器的输出端连接到示
波器。
2. 调节信号发生器的频率,观察示波器上的波形变化。
3. 记录不同频率下的输出波形,并测量输出信号的电压和电流。
实验结果:通过实验我们观察到,在不同频率下,分频器的输出波形呈现出不
同的频率特性,符合分频器的工作原理。
同时,我们还测量了输出信号的电压
和电流,验证了分频器的输出特性。
实验结论:分频器是一种广泛应用于电子电路中的重要器件,通过实验我们深
入了解了分频器的工作原理和特性。
在实际应用中,分频器可以用于信号处理、通信系统、音频设备等领域,具有重要的应用价值。
通过本次实验,我们不仅对分频器有了更深入的了解,同时也提高了对电子电
路原理的理解和应用能力。
希望通过今后的实验和学习,能够更加深入地掌握
电子电路的知识,为未来的科研和工程实践打下坚实的基础。
实验一分频器设计一、实验项目分频器设计实验:利用QuatusII仿真实现分频器设计。
二、实验仪器及器件计算机、USB-BLASTER下载线、数字系统实验箱、5V稳压电源。
三、实验目的1、熟悉教学实验板的使用,初步了解掌握Verilog HDL语言和VHDL语言。
2、掌握分辨器原理,熟悉分频器的功用,学习分频器的设计、掌握用Verilog HDL 或者VHDL 语言描述分频器的方法。
3、熟悉和掌握FPGA开发软件QuatusII的基本操作,用QuatusII编译Verilog和VHDL语言。
4、掌握USB-BLASTER下载工具的安装、以及程序下载方法。
5、学会FPGA I/O引脚分配和实现过程。
四、实验要求及内容1、首先下载给定的VHDL硬件描述语言编写的分频器示例程序,读懂程序,编译并仔细观察在实验板上的现象。
2、在QuatusII平台上进行波形仿真。
3、扩展内容:设计分频电路得到3分频器、8分频器和32分频器。
编写分频器的Verilog 或VHDL代码,并仿真,同时给出3、8、32分频仿真波形。
五、实验原理一个数字系统中往往需要多种频率的时钟脉冲作为驱动源,这样就需要对FPGA的系统时钟(频率较高)进行分频。
比如在进行流水灯、数码管动态扫描设计时不能直接使用系统时钟(太快而肉眼无法识别),或者需要进行通信时,由于通信速度不能太高(由不同的标准限定),这样就需要对系统时钟分频以得到较低频率的时钟。
分频器主要分为偶数分频、奇数分频、半整数分频和小数分频,如果在设计过程中采用参数化设计,就可以随时改变参量以得到不同的分频需要。
在对时钟要求不是很严格的FPGA系统中,分频通常都是通过计数器的循环计数来实现的。
偶数分频(2N)偶数分频最为简单,很容易用模为N的计数器实现50%占空比的时钟信号,即每次计数满N(计到N-1)时输出时钟信号翻转。
奇数分频(2N+1)使用模为2N+1的计数器,让输出时钟在X-1(X在0到2N-1之间)和2N时各翻转一次,则可得到奇数分频器,但是占空比并不是50%(应为X/(2N+1))。
得到占空比为50%的奇数分频器的基本思想是:将得到的上升沿触发计数的奇数分频输出信号CLK1,和得到的下降沿触发计数的相同(时钟翻转值相同)奇数分频输出信号CLK2,最后将CLK1和CLK2相或之后输出,就可以得到占空比为50%的奇数分频器。
原理图如下:用Quartus II 得到的占空比为50%的9分频时钟输出信号outclk如下:六、实验步骤:(开始建立工程项目)(1)在WINDOWS界面双击QuatusII图标,打开QuatusII软件,进入QuatusII开发环境;(2)选择“File=>New Project Wizard”或Create a New Project ,出现如下向导对话框;(3)点击Next>,弹出如下New Project Wizard对话框,填写Directory,Name, Top-Level Entity等项目。
其中第一、第二、第三个文本框分别是工程项目目录、项目名称和项目顶层设计实体的名称。
(4)设置好存储位置和项目名称后点击Next>,弹出出现添加工程文件的对话框;(5)在对话框中,我们可以导入已经存在的*.vhd、*.vhdl或*.verilogwen 文件(注:导入的文件名称需和项目名称一致),若原来己有文件,可选择相应文件。
若需要导入已存在文件到当前项目中,则按照以下步骤操作;若无需导入文件则直接点击Next> , 跳过步骤(5)、(6)、(7),执行步骤(8)(6)点击红色框选中的按钮,弹出窗口,选择要导入的文件,选中oushufenpin.vhd(7)点击“打开”,File name:显示需要导入文件的位置:(8)点击Add,将选中的文件添加到项目中;(9)点击Next>,如下选择FPGA器件对话框,在Family下拉框中,根据需要选择一种型号的FPGA,比如CycloneII系列FPGA。
然后在“Available devices:”中根据需要的FPGA型号选择FPGA型号;(10)选择器件类型-型号后,点击Next>,如下图,弹出其他EDA工具的对话框,由于我们使用Quartus Ⅱ的集成环境进行开发,因此不要作任何改动。
(11)单击Next进入工程的信息总概对话框;(12)单击Finish按钮就建立了一个空的工程项目;(编辑设计文件)(13)执行“File=>New”弹出新建文件对话框,Quartus Ⅱ支持6种设计输入法文件(Design Files): “AHDL File ”,是AHDL文本文件;“Block Diagram/Schematic File ”,是流程图和原理图文件,简称原理图文件;“EDIF File ”,是网表文件;“SOPC Builder System ”,是可编程片上系统的编辑系统; “Verilog HDL File ”,是Verilog HDL文本文件; “VHDL File ”,是VHDL文本文件。
这里我们使用VHDL语言,因此选择VHDL File。
(14)单击OK后,即建立一个空的原理图文件,在Quartus窗口的右方看到该文件(Vhdl.vhd);(15)执行File=> Save as命令,把它另存为文件名是“oushufenpin”(自己命名)的VHDL文件,文件后缀为.vhd。
使该文件添加到刚建立的工程目录中去。
(16)点击保存,则将当前的VHDL 文件保存至当前工程中,Quartus 窗口的右方看到该文件名为oushufenpin.vhd,并在该文件中写入工程代码;(17)选择“Processing=》Start Compilation”或通过点击快捷菜单按钮,开始编译(18)编译结束后,会出现如下图所示的对话框,对话框会显示编译的错误和警告的情况。
若有错误,则可先双击编译器界面下方出现的第一个错误提示,可使第一个错误处改变颜色。
检查纠正,第一个错误后保存再编译,如果还有错误,重复以上操作,直至最后通过。
最后通过时应没有错误提示但可有警告提示(编辑波形文件)(19)执行“file->new”选择“Verification/Debugging Files”标签中的“Vector WaveformFile ”(波形文件),然后单击“OK”确定;(20)出现波形文件编辑器;(21)单击鼠标右键,出现下图所示菜单,选择Insert Node or Bus…命令;(22)此处我们只需要在name栏键入输入波形名clkin,单击ok按键;(23)设置仿真时间执行Edit=>End Time命令弹出如下设置时间对话框,设置总时间为1.0us:执行Edit=>Grid Size命令,设置时间单位为10 ns(24)设置输入信号波形单击工具箱中缩放工具按钮,将鼠标移到编辑区内,单击鼠标,调整波形区横向比例(左键放大,右键缩小),单击工具箱中的选择按钮,然后在要设置波形的区域上按下鼠标左键并拖动鼠标,选择要设置的区域;(25)单击工具箱中高电平设置按钮,将该区域设置为高电平;(26)用相似的方法设置其他区域的波形,注意波形与真值表相对应;(27)选择“processing-》generate functional simulation netlist”,弹出保存对话框:(文件名需要与对应的.vhd文件名一致)(28)点击是,弹出对话框,保存路径与工程路径一致,文件名为oushufenpin:(29)点击保存,将该波形文件保存,保存成功,弹出对话框;(30)再选择“processing=》Start simulation”,进行仿真;(31)认真核对输入输出波形,可检查设计的功能正确与否。
七、实验结果偶数分频程序library ieee;use ieee.std_logic_1164.all;entity oushufenpin isport( clkin:in std_logic; --时钟信号输入clkout:out std_logic); --时钟信号输出end oushufenpin;architecture aroneMHZ of oushufenpin issignal data:integer range 0 to 10;signal Q:std_logic;beginprocess(clkin)beginif(clkin'event and clkin='1')thenif(data=0) then --此句为你想要的分频比,data=0,1,2,3,4.......9的分频比为1,2,3,,,10data<=0;Q<=not Q;elsedata<=data+1;end if;end if;clkout<=Q;end process;end aroneMHZ;偶数分频仿真结果奇数分频程序(前面部分一样)process(clkin)beginif(clkin'event and clkin='1')thenif(data=0) then --3分频实现奇数分频Q<=not Q;data<=data+1;end if;if(data=1) thenQ<=not Q;data<=data+1;end if;if(data=2) thendata<=0;end if;end if;clkout<=Q;end process;end aroneMHZ;奇数分频仿真结果3分频5分频八、数据分析偶数分频:以分频比为4(8分频)为例,当第一个时钟来临时,当data=3时,将0赋给data,电平发生反转,否则data+1,以此实现循环奇数分频:以3分频为例,当第一个时钟来临时,即data=0时,电平发生反转,让data+1;即data=1时,电平发生反转,且让data+1;当data=2时,电平保持原来状态,将0赋给data,实现循环。
九、参考文献(使用Vrerilog或者VHDL语言编写程序,分析程序,使用QuatusII时,参考的资料,书籍。
)十、实验体会实验中对VHDL语言不是很熟悉,导致对程序的不理解,同时对Quartus操作不是太熟悉,收获是小组在一起讨论,收获很大,加深了对问题的思考,同时对程序有了更深刻的理解。