多功能六位电子钟(累计第43、44课时)
- 格式:doc
- 大小:76.50 KB
- 文档页数:3
多功能六位电子钟说明书一、原理说明:1、显示原理:显示部分主要器件为3只两位一体共阳极数码管,驱动采用 PNP 型三极管驱动,各端口配有限流电阻,驱动方式为动态扫描,占用 P3.0~P3.5 端口,段码由P1.0~P1.6输出。
冒号部分采用 4 个Φ3.0的红色发光二极管,驱动方式为独立端口P1.7驱动。
2、键盘原理:按键 S1~S3 采用复用的方式与显示部分的 P3.5、P3.4、P3.2 口复用。
其工作方式为,在相应端口输出高电平时读取按键的状态并由单片机消除抖动并赋予相应的键值。
3、迅响电路及输入、输出电路原理:迅响电路由有源蜂鸣器和 PNP 型三极管组成。
其工作原理是当 PNP 型三极管导通后有源蜂鸣器立即发出定频声响。
驱动方式为独立端口驱动,占用P3.7端口。
输出电路是与迅响电路复合作用的,其电路结构为有源蜂鸣器,5.1K定值电阻R6,排针J3并联。
当有源蜂鸣器无迅响时J3输出低电平,当有源蜂鸣器发出声响时J3输出高电平,J3可接入数字电路等各种需要。
驱动方式为迅响复合输出,不占端口。
输入电路是与迅响电路复合作用的,其电路结构是在迅响电路的 PNP 型三极管的基极电路中接入排针J2。
引脚排针可改变单片机I/O口的电平状态,从而达到输入的目的。
驱动方式为复合端口驱动,占用P3.7端口。
4、单片机系统:本产品采用了单片机AT89C2051为核心器件,并配合所有的外围电路,具有上电复位的功能,无手动复位功能。
二、使用说明:1、功能按键说明:S1为功能选择按键,S2为功能扩展按键,S3为数值加一按键。
2、功能及操作说明:操作时,连续短时间(小于1秒)按动S1,即可在以上的6个功能中连续循环。
中途如果长按(大于2秒)S1,则立即回到时钟功能的状态。
1)时钟功能:上电后即显示10:10:00 ,寓意十全十美。
2)校时功能:短按一次 S1,即当前时间和冒号为闪烁状态,按动 S2 则小时位加 1,按动 S3则分钟位加1,秒时不可调。
单片机制作的6位数字钟常见的电子钟程序由显示部分,计算部分,时钟调整部分构成。
时钟的基本显示原理:时钟开始显示为0时0分0秒,也就是数码管显示000000,然后每秒秒位加1 ,到9后,10秒位加1,秒位回0。
10秒位到5后,即59秒,分钟加1,10秒位回0。
依次类推,时钟最大的显示值为23小时59分59秒。
这里只要确定了1秒的定时时间,其他位均以此为基准往上累加。
开始程序定义了秒,十秒,分,十分,小时,十小时,共6位的寄存器,分别存在30h,31h,32h,33h,34h,35h单元,便于程序以后调用和理解。
6个数码管分别显示时、分、秒,一个功能键,可以切换调整时分秒、增加数值、熄灭节电等功能全部集一键。
以下是部分汇编源程序,购买我们产品后我们用光盘将完整的单片机汇编源程序和烧写文件送给客户。
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 中断入口程序;; (仅供参考);;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;ORG 0000H ;程序执行开始地址LJMP START ;跳到标号START执行ORG 0003H ;外中断0中断程序入口RETI ;外中断0中断返回ORG 000BH ;定时器T0中断程序入口LJMP INTT0 ;跳至INTTO执行ORG 0013H ;外中断1中断程序入口RETI ;外中断1中断返回ORG 001BH ;定时器T1中断程序入口LJMP INTT1 ;跳至INTT1执行ORG 0023H ;串行中断程序入口地址RETI ;串行中断程序返回;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 主程序;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;START: MOV R0,#70H ;清70H-7AH共11个内存单元MOV R7,#0BH;clr P3.7 ;CLEARDISP: MOV @R0,#00H ;INC R0 ;DJNZ R7,CLEARDISP ;MOV 20H,#00H ;清20H(标志用)MOV 7AH,#0AH ;放入"熄灭符"数据MOV TMOD,#11H ;设T0、T1为16位定时器MOV TL0,#0B0H ;50MS定时初值(T0计时用)MOV TH0,#3CH ;50MS定时初值MOV TL1,#0B0H ;50MS定时初值(T1闪烁定时用)MOV TH1,#3CH ;50MS定时初值SETB EA ;总中断开放SETB ET0 ;允许T0中断SETB TR0 ;开启T0定时器MOV R4,#14H ;1秒定时用初值(50MS×20)START1: LCALL DISPLAY ;调用显示子程序JNB P3.7,SETMM1 ;P3.7口为0时转时间调整程序SJMP START1 ;P3.7口为1时跳回START1 SETMM1: LJMP SETMM ;转到时间调整程序SETMM;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 1秒计时程序;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;T0中断服务程序INTT0: PUSH ACC ;累加器入栈保护PUSH PSW ;状态字入栈保护CLR ET0 ;关T0中断允许CLR TR0 ;关闭定时器T0MOV A,#0B7H ;中断响应时间同步修正ADD A,TL0 ;低8位初值修正MOV TL0,A ;重装初值(低8位修正值)MOV A,#3CH ;高8位初值修正ADDC A,TH0 ;MOV TH0,A ;重装初值(高8位修正值)SETB TR0 ;开启定时器T0DJNZ R4, OUTT0 ;20次中断未到中断退出ADDSS: MOV R4,#14H ;20次中断到(1秒)重赋初值MOV R0,#71H ;指向秒计时单元(71H-72H)ACALL ADD1 ;调用加1程序(加1秒操作)MOV A,R3 ;秒数据放入A(R3为2位十进制数组合)CLR C ;清进位标志CJNE A,#60H,ADDMM ;ADDMM: JC OUTT0 ;小于60秒时中断退出ACALL CLR0 ;大于或等于60秒时对秒计时单元清0 MOV R0,#77H ;指向分计时单元(76H-77H)ACALL ADD1 ;分计时单元加1分钟MOV A,R3 ;分数据放入ACLR C ;清进位标志CJNE A,#60H,ADDHH ;ADDHH: JC OUTT0 ;小于60分时中断退出ACALL CLR0 ;大于或等于60分时分计时单元清0 MOV R0,#79H ;指向小时计时单(78H-79H)ACALL ADD1 ;小时计时单元加1小时MOV A,R3 ;时数据放入ACLR C ;清进位标志CJNE A,#24H,HOUR ;HOUR: JC OUTT0 ;小于24小时中断退出ACALL CLR0 ;大于或等于24小时小时计时单元清0 OUTT0: MOV 72H,76H ;中断退出时将分、时计时单元数据移MOV 73H,77H ;入对应显示单元MOV 74H,78H ;MOV 75H,79H ;POP PSW ;恢复状态字(出栈)POP ACC ;恢复累加器SETB ET0 ;开放T0中断RETI ;中断返回;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 闪动调时程序;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;T1中断服务程序,用作时间调整时调整单元闪烁指示INTT1: PUSH ACC ;中断现场保护PUSH PSW ;MOV TL1, #0B0H ;装定时器T1定时初值MOV TH1, #3CH ;DJNZ R2,INTT1OUT ;0.3秒未到退出中断(50MS中断6次)MOV R2,#06H ;重装0.3秒定时用初值CPL 02H ;0.3秒定时到对闪烁标志取反JB 02H,FLASH1 ;02H位为1时显示单元"熄灭"MOV 72H,76H ;02H位为0时正常显示MOV 73H,77H ;MOV 74H,78H ;MOV 75H,79H ;INTT1OUT: POP PSW ;恢复现场POP ACC ;RETI ;中断退出FLASH1: JB 01H,FLASH2 ;01H位为1时,转小时熄灭控制MOV 72H,7AH ;01H位为0时,"熄灭符"数据放入分MOV 73H,7AH ;显示单元(72H-73H),将不显示分数据MOV 74H,78H ;MOV 75H,79H ;AJMP INTT1OUT ;转中断退出FLASH2: MOV 72H,76H ;01H位为1时,"熄灭符"数据放入小时MOV 73H,77H ;显示单元(74H-75H),小时数据将不显示MOV 74H,7AH ;MOV 75H,7AH ;AJMP INTT1OUT ;转中断退出;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 加1子程序;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;ADD1: MOV A,@R0 ;取当前计时单元数据到ADEC R0 ;指向前一地址SWAP A ;A中数据高四位与低四位交换ORL A,@R0 ;前一地址中数据放入A中低四位ADD A,#01H ;A加1操作DA A ;十进制调整MOV R3,A ;移入R3寄存器ANL A,#0FH ;高四位变0MOV @R0,A ;放回前一地址单元MOV A,R3 ;取回R3中暂存数据INC R0 ;指向当前地址单元SWAP A ;A中数据高四位与低四位交换ANL A,#0FH ;高四位变0MOV @R0,A ;数据放入当削地址单元中RET ;子程序返回;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; 清零程序;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;.............;; 时钟调整程序;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;当调时按键按下时进入此程序SETMM: cLR ET0 ;关定时器T0中断CLR TR0 ;关闭定时器T0LCALL DL1S ;调用1秒延时程序JB P3.7,CLOSEDIS ;键按下时间小于1秒,关闭显示(省电)MOV R2,#06H ;进入调时状态,赋闪烁定时初值SETB ET1 ;允许T1中断SETB TR1 ;开启定时器T1SET2: JNB P3.7,SET1 ;P3.7口为0(键未释放),等待SETB 00H ;键释放,分调整闪烁标志置1SET4: JB P3.7,SET3 ;等待键按下LCALL DL05S ;有键按下,延时0.5秒JNB P3.7,SETHH ;按下时间大于0.5秒转调小时状态MOV R0,#77H ;按下时间小于0.5秒加1分钟操作LCALL ADD1 ;调用加1子程序MOV A,R3 ;取调整单元数据CLR C ;清进位标志CJNE A,#60H,HHH ;调整单元数据与60比较HHH: JC SET4 ;调整单元数据小于60转SET4循环LCALL CLR0 ;调整单元数据大于或等于60时清0CLR C ;清进位标志AJMP SET4 ;跳转到SET4循环CLOSEDIS: SETB ET0 ;省电(LED不显示)状态。
六位时钟总结六位时钟总结篇1以下是一份关于六位时钟的总结:1.什么是六位时钟?六位时钟是一种电子时钟,它具有6个独立的数字显示区域,可以同时显示时、分、秒、时区、日期和秒数。
六位时钟通常还具有定时器、闹钟、秒表等功能,是办公室、书房、卧室等场合的理想选择。
2.六位时钟的特点是什么?六位时钟的特点包括:__可以同时显示多个时间信息,方便用户同时查看多个时区的时间。
__具有秒表功能,可以记录一段时间内的精确时间。
__具有定时器功能,可以设置闹钟时间,提醒用户按时完成任务。
__具有数字显示屏,可以显示日期、秒数等信息。
__可以连接蓝牙耳机、手机等设备,方便用户听音乐、接打电话等。
3.六位时钟的应用场景是什么?六位时钟的应用场景包括:__办公室:六位时钟可以显示多个时区的时间,方便员工查看不同地区的时间信息。
__书房:六位时钟可以显示多个时区的时间,方便作者查看不同地区的时间信息。
__卧室:六位时钟可以显示多个时区的时间,方便用户查看不同地区的时间信息。
__机场、火车站:六位时钟可以显示多个时区的时间,方便旅客查看不同地区的时间信息。
__办公室、酒店、公寓等场所:六位时钟可以显示多个时区的时间,方便用户查看不同地区的时间信息。
4.六位时钟的局限性是什么?六位时钟的局限性包括:__价格较高,不适合低收入人群。
__需要使用电池或充电,存在电池寿命和充电次数限制的问题。
__蓝牙连接功能只适用于部分设备,无法连接所有蓝牙设备。
__数字显示屏较小,不适合远距离观看。
__定时器功能较为简单,无法满足高端用户的需求。
5.六位时钟的未来发展趋势是什么?六位时钟的未来发展趋势包括:__更加智能化,可以连接物联网设备,实现远程控制和数据传输。
__更加个性化,可以定制外观和功能,满足不同用户的需求。
__更加节能,采用更加省电的显示技术和材料,延长电池寿命。
__更加多样化,除了传统数字显示外,还可以采用液晶显示、LED显示等多种形式。
电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时LED灯花样显示。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
6位数字钟制作套件(纯数字电路)电子制作套件6位数字钟制作套件(纯数字电路)电子制作套件数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。
与传统的机械钟相比,它走时准确、显示直观、无机械传动等优点,广泛应用于电子手表和车站、码头、机场等公共场大型电子钟等。
一、电路工作原理图3—8—1所示是数字钟的原理框图(原理图见附录一的附图1—1)。
由图可见,该数字钟由秒脉冲发生器,六十进制“秒”、“分”计时计数器和二十四进制“时”计时计数器,时、分、秒译码显示电路,校时电路和报时电路等五部分电路组成。
1(秒信号发生电路15 秒信号发生电路产生频率为1 Hz的时间基准信号。
数字钟大多采用32768(2Hz石英晶体振荡器,经过15级二分频,获得1Hz的秒脉冲,如图3—8—2所示。
该电路主要应用CD4060。
CD4060是14级二进制计数器,分频器,振荡器。
它与外接电阻、电容、15石英晶体共同组成2=32768 Hz振荡器,并进行14级二分频,再外加一级D 触发器(74LS74)二分频,输出1Hz的时基秒信号。
CD4060的引脚排列如图3—8—3所示,表3—8—1为CD4060的功能表,图3—8—4所示为CD4060的内部逻辑框图。
R4是反馈电阻,可使CD4060内非门电路工作在电压传输特性的过渡区,即线性放大区。
R4的阻值可在几兆到十几兆之间选择,一般取22 MΩ。
C2是微调电容,可将振荡频率调整到精确值。
2(计数器电路"秒”、“分”、“时”计数器电路均采用双BCD同步加法计数器CD4518,如图3—8—5所示。
“秒”、“分”计数器是六十进制计数器,为了便于应用8421BCD码显示译码器工作,“秒”、“分”个位采用十进制计数器,十位采用六进制计数器,如图(a)所示。
“时”计数器是二十四进制计数器,如图(b)所示。
CD4518的引脚排列和功能分别见图3—8—6和表3—8—2。
3(译码、显示电路“时”、“分”、“秒’’的译码和显示电路完全相同,均使用七段显示译码器74LS248直接驱动LED数码管LC5011—11。
6位LED显示单片机控制电子钟/计数器这是我们设计的单片机电子钟/计时器学习板,它采用6位LED数码管显示时、分、秒,以24小时计时方式。
可以通过按键实现时分调整、秒表/时钟功能转换、省电(关闭显示)等功能。
我们能提供的完整的汇编语言源程序清单及电路原理设计图有助于学习者进行分析和进行实验验证6位LED显示单片机控制电子钟/计数器成品板成品每套84元51单片机做的电子钟在很多地方都有介绍,对于单片机学习者来说这个程序基本上是一道门槛,掌握了电子钟程序,基本上可以说51单片机就掌握了80%。
常见的电子钟程序由显示部分、计算部分、时钟调整部分构成,本产品硬件上完全支持倒计时器,客户只要自己修改程序就能实现倒计时功能。
为了实现LED显示器的数字显示,可以采用静态显示法和动态显示法。
由于静态显示法需要数据锁存器等硬件,接口复杂一些。
考虑时钟显示只有六位,且系统没有其它复杂的处理任务,所以决定采用动态扫描法实现LED的显示。
单片机采用易购的AT89S51系列,这样单片机可具有足够的空余硬件资源实现其它的扩充功能,硬件系统的总体构成如下图所示:该板采用AT89S51单片机,最小化应用设计,采用共阳七段LED显示器,P0口输出段码数据,P2.0~P2.5做列扫描输出,P1.0,P1.1,P1.2,接三个按键开关,用以调时及功能设置。
为了提高共阳数码管的驱动电压,用9012做电源驱动输出。
采用12M晶振,有利于提高秒计时的精度。
本设计中,计时采用定时器T0中断完成,其余状态循环调用显示子程序,当端口开关按下时,转入相应功能程序。
其主程序执行流程图见下左图:数码管显示的数据存放在内存单元70H~75H中。
其中70H~71H存放秒数据,72H~73H存放分数据,74H~75H存放时数据,每一地址单元内均为十进制BCD码。
由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。
显示时,先取出70H~~75H某一地址中的数据,然后查得对应的显示用段码,并从P0口输出,P2口将对应的数码管选中供电,就能显示该地址单元的数据值。
电子技术(下)课程设计题目名称:多功能电子时钟的设计院系名称:电子信息学院班级:学号:学生姓名:指导教师:年月电子技术(下)课程设计任务书姓名学号学院电子信息学院班级题目多功能电子时钟的设计设计任务1、基本部分1)、由振荡电路产生秒脉冲信号;2)、经分频电路、计数电路、译码电路,由数码管显示;3)、秒和分电路为60进制,小时电路为24进制;2、发挥部分1)、可以增加校正电路,对秒、分、小时进行校对;2)、可以增加报时电路,整点前进行“四低一高”报时;时间进度总体时间为1周;星期一上午:讲解;星期一下午:理解电路原理;星期二上午:发放元器件和工具,设计电路和连线;星期四上午:验收电路;星期日之前:上交课程设计报告;主要参考文献[1]康华光。
电子技术基础数字部分(第五版)。
北京:高等教育出版社,2006;[2]康华光。
电子技术基础模拟部分(第五版)。
北京:高等教育出版社,2006;[3]电子技术(下)实验指导书,中原工学院电子技术课程组自编,2011;摘要设计一台可以准确计时,以十进制数显示“时”、“分”、“秒”的多功能电子时钟,小时为24进制,分和秒均为60进制。
多功能电子时钟实际是一个对标准频率(1HZ)进行计数的计数电路,是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。
通过本课程设计,巩固加强“数字电子技术”课程的理论知识;掌握电子电路的一般设计方法,并了解电子产品研制开发的过程;基本掌握电子电路安装和调试的方法;培养独立分析问题和解决问题的能力以及创新能力和创新思维。
关键词:电子时钟;计数器;AbstractDesign a can accurate timing, in decimal number shows "hour"," minute" and "seconds" multifunctional electronic clock, for 24 hours into the system, minutes and seconds are 60 into the system. Multifunctional electronic clock is actually a for standard frequency (1 HZ) to count count circuit, is the digital circuit implementation digital display timer device. Through this course design, make we strengthen "hour","minute" and "seconds" digital electronic technology" the curriculum theory knowledge; Grasp the electronic circuit of the general design method, and understand the electronic product development development process; Basic grasp the electronic circuit installation and debugging methods; To foster independent analysis and problem-solving ability and creative ability and creative thinking.Key words:Electronic clock; counter;目录中文摘要Abstract第一章绪论 (1)第二章总体方案设计 (1)2.1 设计内容 (1)2.1.1基本部分 (1)2.1.2发挥部分 (1)2.2 原理说明 (1)第三章单元模块设计 (3)3.1 振荡器 (3)3.2 分频器 (6)3.3 计数器 (7)3.4 译码显示电路 (10)3.5校时电路 (11)3.6 整点报时电路 (12)第四章系统的调试与功能 (13)4.1 系统的调试 (13)4.2 系统的功能 (14)第五章心得体会 (15)参考文献 (15)附录一:工具清单 (15)附录二:元器件清单 (16)附录三:主要元器件的引脚图和功能表 (17)第一章绪论随科技的发展,电子产品越来越多的走进人们的生活,工艺也是越来越精湛。
用计数器实现6位数字时钟的方法使用计数器实现6位数字时钟的方法计数器是一种常见的数字电子元件,能够按照指定的步骤依次递增或递减数值。
我们可以使用计数器来实现一个6位数字时钟。
下面是一种可行的方法:1. 首先,选择适当的计数器。
计数器需要有至少6位(0至9)的数字显示功能。
可以选择数字集成电路如74LS90,74LS192等,这些集成电路具有可配置的计数和显示功能。
2. 连接计数器和数字显示器。
将计数器的输出引脚连接到对应位数的数字显示器的输入引脚。
一般来说,计数器的输出引脚和数字显示器的输入引脚是一一对应的。
3. 设置计数器的工作模式。
根据6位数字时钟的需求,将计数器设置为递增模式,从0开始加到9,然后再从0开始。
可以通过设置计数器的某些引脚状态或使用额外的逻辑电路实现。
4. 设置时钟控制。
为了保证时钟的准确性,可以使用一个稳定的脉冲信号作为时钟源输入计数器。
这可以来自一个单独的时钟发生器电路或者其他准确的计时源。
5. 组合显示。
每个数字显示器负责一个位数的显示,通过精确地控制计数器的输出和数字显示器的输入,可以实现6位数字时钟的显示。
通过以上步骤,我们可以将计数器与数字显示器结合起来,实现一个6位数字时钟。
根据具体的需求和材料的可用性,可以选择不同的硬件元件和连接方式。
这个时钟不仅可以显示当前时间,还可以用于计时、定时等功能。
需要注意的是,在实际应用中,还需要处理时钟的起始时间、时间格式、时区等问题。
此外,还可以考虑添加闹钟、温度显示等附加功能,以满足更多需求。
总结起来,使用计数器实现6位数字时钟的方法包括选择计数器,连接计数器和数字显示器,设置计数器的工作模式和时钟控制,以及组合显示。
通过精确的配置和控制,我们可以实现一个功能完善的6位数字时钟。
实训报告一. 实训目的:了解常用电子元器件的性能,规格,质量参数及其意义.学习借助万用表鉴别其性能好坏的方法,学习通孔插装元器件的组装焊接技术,提高焊接水平.通过数字钟组状与调试学习,提高识图能力及实际操作技能.二. 实训内容:1.常用元器件识别及测试性能鉴别2.通孔插装元器件手工焊接及拆焊3.数字钟组装调试三. 实训步骤:1.常用元器件识别及测试性能鉴别1)三用表使用方法学习2)电阻、电容、电感、二极管、三极管、集成电路等(符号、参数、万用表测试三极管及其E.B.C判别方法)2.通孔插装元器件手工焊接及拆焊1)常用工具使用方法学习钳子、镊子、起子、吸锡器、烙铁等2)焊料(焊锡丝)3)助焊剂4)手工焊接A.对焊点要求B.焊接要领(五步法)C.焊件表面处理:保持烙铁头清洁、焊锡、焊剂用量适中、焊件整形及固定、烙铁撤离方向等5)拆焊要求拆焊原则、拆焊工具、拆焊操作要点实训过程步骤一准备认准焊点位置, 准备好焊锡丝和烙铁, 处于随时可焊接的状态。
此时特别强调的施烙铁头部要保持干净, 即可以沾上焊锡(俗称吃锡)。
步骤二加热将烙铁头放在工件焊点处, 加热焊接点。
注意首先要保持烙铁加热焊件各部分, 例如印制板上引线和焊盘都使之受热, 其次要注意让烙铁头的扁平部分(较大部分)接触热容量较大的焊件, 烙铁头的侧面或边缘部分接触热容量较小的焊件,以保持焊件均匀受热。
步骤三送焊锡当焊件加热到能熔化焊料的温度后将焊丝置于焊点, 焊料开始熔化并润湿焊点。
步骤四去焊锡当熔化一定量的焊锡后将焊锡丝移开。
步骤五移烙铁当焊锡完全润湿焊点后移开烙铁, 注意移开烙铁的方向应该是大致45°的方向。
要保证焊点美观。
上述过程, 对一般焊点而言焊接时间大约2~3秒钟。
对于热容量较小的焊点, 例如印制电路板上的小焊盘, 有时用三步法概括操作方法, 即将上述步骤2, 3合为一步, 4, 5合为一步。
实际上细微区分还是五步, 所以五步法有普遍性, 是掌握手工烙铁焊接的基本方法。