数电课程设计多功能数字钟的设计与实现
- 格式:doc
- 大小:1.52 MB
- 文档页数:34
多功能数字时钟设计报告目录一、设计任务和要求 (2)二、设计的方案的选择与论证 (2)(1) 总体电路分析 (2)(2) 仿真分析 (3)(3) 仿真说明 (3)三、电路设计计算与分析 (4)(1)小时计时电路 (4)(2)分钟计时电路 (5)(3)秒钟计时电路 (7)(4)校时选择电路 (8)(5)整点译码电路 (9)(6)定时比较电路 (11)(7)脉冲产生电路 (12)四、总结及心得 (13)五、附录 (15)(1)元器件明细表 (15)(2)附图 (17)六、参考文献 (17)一、设计任务和要求实现24小时的时钟显示、校准、整点报时、闹铃等功能。
具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~59,秒0~59)。
(2)校时功能:当刚接通电源或数字时钟有偏差时,可以通过手动的方式去校时。
(3)整点报时:当时钟计时到整点时,能进行整点报时。
(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行报时提醒。
二、设计的方案的选择与论证(1)总体电路分析总体电路设计是将单元电路模块小时计时电路、分钟计时电路、秒计时电路、校时选择电路、整点译码电路、闹钟电路等模块连接在一起,外接输入开关和输出显示数码管构成。
总体结构图如下:(2)仿真分析单击运行按钮,可观测仿真结果。
电路能完成显示计时、校时、整点报时以及闹铃等功能。
○1计时功能。
当开关S1、S2都处于左边触点时,数字时钟工作于计时状态。
此时,电路中的秒计时电路、分计时电路以及小时计时电路分别对秒脉冲、分脉冲和小时脉冲进行计数。
计数结果经数码管显示计时时间值。
○2校时功能。
当开关S1、S2都处于右边触点时,数字时钟工作于校时状态。
按瞬态按钮B键,可以选择对“小时”、“分钟”和“秒钟”进行校时。
校时时通过开关S3(按C键)手动输入校时时间。
○3整点报时功能。
整点译码电路通过识别整点时间,产生整点报时信号。
目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。
4.1整体电路图及说明................................................................................ 错误!未定义书签。
第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。
5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。
5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。
5.2整点报时功能的仿真............................................................................ 错误!未定义书签。
摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的应用。
数字钟从原理上讲是一种典型的数字电路,其中包含了组合逻辑电路和时序逻辑电路。
本次课程设计则是利用十进制计数器、译码器,以及数码显示管和一些常用的逻辑门器件设计并制作一个多功能数字钟,对该数字钟的各个单元进行详细的原理分析,同时阐述仿真过程中出现的问题及调试过程,并比较测试结果和理论结果。
除此之外还有硬件实现的步骤和理论依据,最后对整个设计、仿真、硬件实现的过程进行总结。
关键词:数字钟脉冲信号计时清零武汉理工大学《电工电子技术》课程设计说明书多功能数字钟的设计及制作1 设计内容及要求1.1设计内容设计一个多功能数字钟,能够达到指定的要求。
1.2设计要求1.数字钟可以按常理计时,并显示时、分、秒;2.小时以24为一个周期,分和秒均以60为一个周期;3.具有校时功能,可以分别对时和分进行单独校时,使其校正到指定时间;2 电路设计方案及其论证2.1 电路设计方案12.1.1 原理框图图2.1武汉理工大学《电工电子技术》课程设计说明书2.1.2 原理电路图图2.2武汉理工大学《电工电子技术》课程设计说明书2.2 电路设计方案22.2.1 原理框图方案2的基本原理框图和方案一相同。
2.2.2 原理电路图图2.32.3 方案对比,择优选择2.4 方案论证数字电子钟由信号发生器、计数器、数码显示管、校时控制电路组成。
时钟源产生稳定的脉冲信号送进秒计时器,通过六十进制的秒计数器后产生一个分脉冲,使分计数器计一次数,同样通过分计数器产生一个时脉冲,使时计数器计一次数。
最后一整个循环下来,通过反馈清零对数字钟清零。
在计数过程中计数器的输出端接译码器,将二进制码传送到数码显示管,则可以显示时间。
校时电路则是通过开关来控制各个芯片的脉冲输入端,需要校时时,该芯片接开关控制的单脉冲则可以通过一次次的单脉冲来校正时间。
电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。
2.可以24小时制或12小时制。
3.具有校时功能。
可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4.整点能自动报时,要求报时声响四低一高,最后一响为整点。
5.走时精度高于普通机械时钟〔误差不超过1s/d〕。
二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。
秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。
“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。
其原理框图如图1所示。
2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。
数字钟的精度,主要取决于时间标准信号的频率及稳定度。
振荡器的频率越高,计时的精度就越高,但耗电量将增大。
一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。
〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。
分频器的级数和每级的分频次数要根据时基频率来定。
例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。
也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。
〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。
“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。
“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。
多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。
实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。
2. 按键输入:使用按键进行时间的调节和选择功能。
3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。
实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。
2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。
3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。
4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。
5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。
实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。
使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。
实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。
实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。
通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。
课程设计任务书题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。
用数码管显示时间计数值。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:1)设计一个数字钟。
要求用六位数码管显示时间,格式为00:00:00。
2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。
3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。
4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。
6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
3、查阅至少5篇参考文献。
按《******大学课程设计工作规》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规。
时间安排:1、 2013年 3 月 18 日,布置课设具体实施计划与课程设计报告格式的要求说明。
2、 2013 年 3 月 22日至 2013 年 5 月 10 日,方案选择和电路设计。
3、 2013 年 5 月 25 日至 2013 年 7 月 2 日,电路调试和设计说明书撰写。
4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 引言 (1)1.1 数字钟简介 (1)1.2 EWB简介 (1)2 方案选择 (3)3 系统框图 (4)4 分电路设计 (5)4.1 脉冲产生电路 (5)4.1.1设计要求 (5)4.1.2所需元件 (5)4.1.3元件介绍 (5)4.1.4参数计算 (6)4.1.5电路设计 (7)4.2计数电路 (8)4.2.1秒电路 (8)4.2.2分电路 (9)4.2.3时电路 (11)4.3显示电路 (12)4.3.1所需元件 (12)4.3.2元件介绍 (12)4.3.3原理说明 (12)4.3.4电路设计 (12)4.4整点报时电路 (13)4.4.1设计要求 (13)4.4.2所需元件 (13)4.4.3元件介绍 (13)4.4.4参数计算 (13)4.4.5原理说明 (14)4.4.6电路设计 (14)4.5校时电路 (15)4.5.1设计要求 (15)4.5.2所需元件 (15)4.5.3元件介绍 (15)4.5.4原理说明 (15)4.5.5电路设计 (15)4.6闹钟电路 (16)4.6.1设计要求 (16)4.6.2所需元件 (16)4.6.3元件介绍 (16)4.6.4原理说明 (18)4.6.5电路设计 (18)5总电路图 (19)6心得体会 (20)7元件清单 (21)8参考文献 (23)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。
数字电子技术课程设计报告课题名称:多功能数字钟学院:国际教育学院专业:电子信息工程班级:学号:姓名:老师:时间:2016年6月28日目录一内容摘要 (1)二主要器件 (1)三设计内容及要求 (1)四总设计原理 (1)4-1数字钟电路系统的组成框图 (1)4-2主体电路的设计 (2)4-2-1 振荡器 (2)4-2-2 分频器 (3)4-2-3 时分秒计数器 (3)4-2-4 译码显示电路 (4)五芯片工作原理 (4)六总电路设计图 (6)七设计结果 (7)八心得体会 (7)九附录 (8)多功能数字钟一内容摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它可以实现数字电子时钟功能这一项基本功能。
二主要器件:NE555 1片74LS90 5片74LS92 2片74LS191 1片74LS74 1片74LS00 4片CD4511 4片5011AS 4个(共阴LED数码管)电阻 2.2kΩ×1,5.1kΩ×1,47kΩ×1电容 0.1μF×1,0.01μF×1三设计内容及要求:基本功能以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位用发光二极管指示,小时的十位亦用发光二极管指示,灯亮为“1”,灯灭为“0”。
小时计数器的计时要求为“12翻1”。
要求手动快速校时、校分或慢校时、慢校分。
四总设计原理:1.数字钟电路系统的组成框图如图S1-1所示,数字钟电路系统由主体电路和扩展电路两大部分所组成。
其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展电路。
主体电路扩展电路图S1-1 多功能数字钟系统组成框图2.主体电路的设计主体电路是由功能部件或单元电路组成的。
在设计这些电路或选择部件时,尽量选择同类型的器件,如所有功能部件都采用TTL 集成电路或都采用CMOS 集成电路。
1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。
②扩展功能:整点报时。
2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
在功能方面,对于本次综合设计,还要求有校时与整点报时功能。
方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。
校时电路时用来对“时”、“分”显示数字进行校对调整。
3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。
脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。
题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日索引摘要 (3)Abstract (3)1系统原理框图 (5)2方案设计与论证 (6)2.1时间脉冲产生电路 (6)2.2分频器电路 (9)2.3时间计数器电路 (10)2.4译码驱动及显示单元电路 (11)2.5校时电路 (12)2.6报时电路 (13)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (15)3.3译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (22)4.4测试结果分析 (22)5心得与体会 (23)6参考文献 (24)附录1原件清单 (25)附录2部分芯片引脚图与功能表 (26)摘要多功能数字钟具有时间显示、闹钟设置、环境温度测量、电网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。
数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
数字逻辑课程设计报告—多功能数字钟的设计与实现一、设计目的1、学会应用数字系统设计方法进行电路设计;2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。
3、培养学生书写综合实验报告的能力。
二、设计任务及要求实现多功能数字钟的设计,主要有以下功能:1、记时、记分、记秒2、校时、校分、秒清03、整点报时4、时间正常显示5、闹时功能(选做)三、设计思路3.1 计时模块3.1.1 设计原理计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。
图3.1.1 计时间模块计时间过程:计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号;计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。
计数器的设计:3.1.2 设计程序编程分别设计24、60进制计数器,计数状态以BCD码形式输出。
24进制计数器源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity FEN24 isport(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic;h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位end FEN24;architecture behave of FEN24 isbeginprocess(clk)variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数beginif(en='0')then ---“使能”为0cnt0:="0010";cnt1:="0001";elsif clk'event and clk='1'then ---上升沿触发if cnt1="0010"and cnt0="0011"thencnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000";co<='1';elseco<='0';if cnt0="1001"thencnt0:="0000";cnt1:=cnt1+1;elsecnt0:=cnt0+1; -----高位记数累加end if;end if;end if;h1<=cnt1;h0<=cnt0;end process;end behave;60进制计数器源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity FEN60 isport(en,clk:in std_logic; ---高电平有效的使能信号/输入时钟co:out std_logic; ---输出/进位信号s1,s0:out std_logic_vector(3 downto 0));end FEN60;architecture behave of FEN60 isbeginprocess(clk,en)variable cnt1,cnt0:std_logic_vector(3 downto 0); ---计数beginif en='0'then ---“使能”为0 cnt1:="0000";cnt0:="0000";elsif clk'event and clk='1'thenif cnt0="1001"thencnt0:="0000";if cnt1="0101"then ---当计数为58(实际是经过59个计时脉冲)cnt1:="0000";co<='1'; ---进位elsecnt1:=cnt1+1;co<='0';end if;elsecnt0:=cnt0+1;end if;end if;s1<=cnt1;s0<=cnt0;end process;end behave;3.1.3 设计仿真及生成器件24进制计数器仿真波形截图如图3.1.2所示,其中计到23时,即高位h1为2,低位h0为3时产生进位。
数字钟多功能课程设计一、课程目标知识目标:1. 让学生掌握数字钟的基本原理和组成,理解时钟的时、分、秒显示功能的实现。
2. 使学生了解和掌握数字钟多功能设计的相关知识,如闹钟、计时器、温度显示等。
3. 让学生掌握数字钟程序设计的步骤和方法,学会使用相关软件和编程语言进行程序编写。
技能目标:1. 培养学生动手实践能力,能够独立完成数字钟的搭建和程序设计。
2. 培养学生运用所学知识解决实际问题的能力,能够根据需求为数字钟增加新功能。
3. 提高学生的团队协作能力,学会在项目中进行有效沟通与分工。
情感态度价值观目标:1. 培养学生对电子科技的兴趣和热情,激发创新意识。
2. 培养学生严谨的科学态度,注重实验过程中的细节和精确性。
3. 培养学生珍惜时间、合理安排时间的观念,提高时间管理能力。
本课程针对中学生设计,结合学生年龄特点和知识水平,注重理论与实践相结合,以培养学生的动手实践能力和创新精神为核心。
课程目标明确、具体,可衡量,便于后续教学设计和评估。
在教学过程中,教师应关注学生的个体差异,给予不同层次的学生适当指导,使他们在课程中都能获得成长和收获。
二、教学内容1. 数字钟基本原理:介绍时钟的工作原理,数字显示技术,以及时、分、秒的计数关系。
教材章节:《电子技术》第三章第三节“数字显示技术”。
2. 数字钟的组成:分析数字钟的硬件组成,包括微控制器、时钟芯片、显示模块等。
教材章节:《电子技术》第三章第四节“数字时钟电路”。
3. 数字钟多功能设计:讲解闹钟、计时器、温度显示等功能的设计与实现。
教材章节:《电子技术》第四章“数字时钟应用实例”。
4. 程序设计方法:学习数字钟程序设计的步骤,使用编程软件和编程语言进行程序编写。
教材章节:《计算机编程》第二章“C语言基础”。
5. 实践操作:指导学生动手搭建数字钟电路,编写程序,实现基本功能及拓展功能。
教材章节:《电子技术实验》第五章“数字时钟设计与制作”。
6. 项目评估:评估学生完成项目的质量,包括功能实现、程序优化、团队协作等方面。
1.设计任务与要求1.1产生1HZ的脉冲;1.2能显示时,分,秒,24小时进制;1.3可手动校正:能分别进行分、时的校正。
只要将开关置于手动位置。
可分别对分、时进行连续脉冲输入调整;1.4整点报时。
2.系统原理框图由振荡器输出稳定的高频脉冲信号作为时间基准,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“24翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒,可发挥部分:使数字钟具有可整点报时与定时闹钟的功能。
数字钟的结构框图如图1所示图1数字钟的结构框图3.设计方案与论证3.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
555与RC振荡电路如图2所示图1 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。
石英晶体振荡器的作用是产生时间标准信号。
因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。
石英晶体振荡电路如图3所示图 2 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器门电路组成的振荡电路如图4所示图 3 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=47kΩ,R2=47kΩ,C=10μF,则555所产生的脉冲的为:f=1/[(R1+2*R2)CLn2=1Hz,而设计要求为1Hz,在精度要求不是很高的时候可以使用。
石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。
由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
《多功能数字钟电路设计与制作》课程设计报告班级:建筑设施智能技术二班姓名:*****学号:********指导教师:*****2010年11月19日目录一、内容摘要 (3)二、设计内容及要求 (3)三、总设计原理 (3)四、单元电路的设计 (6)1、基于NE555的秒方波发生器的设计 (5)2、基于74LS160的12\60进制计数器的设计 (7)3、校时电路的设计 (9)五、设计总电路图 (10)六、主要仪器及其使用方法 (10)七、设计过程中的问题及解决方案 (10)八、心得体会 (12)九、附录 (13)多功能数字钟的电路设计与制作一、内容摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它可以实现数字电子时钟功能、仿电台整点报时功能、定时功能这三项基本功能。
二、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。
②扩展功能:定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。
三、总设计原理:(1)数字电子计时器组成原理图1数字电子计时器的结构框图(2)用74LS160实现12进制计数器(3)校时电路当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。
校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。
为使电路简单,这里只进行分和小时的校准。
校时可采用快校时和慢校时两种方式。
校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。
图3中C 1、 C 2用于消除抖动。
图3 校时电路CLK图2 用整体置零法构成的12进制计数器进位1校4、时基电路图4 由555定时器构成的多谐振荡器5、定时控制电路数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置进行控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。
多功能数字钟的设计与实现一、实验目的1.掌握数字钟的设计原理。
2.用微机实验平台实现数字钟。
3.分析比较微机实现的数字钟和其他方法实现的数字钟。
二、实验内容与要求使用微机实验平台实现数字钟。
1.基本要求如下:1)24小时制时间显示。
2)可以随时进行时间校对。
3)整点报时。
4)闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。
2.提高要求1)校时时相应位闪烁。
2)能够设置多个起闹点。
三、实验报告要求1.设计目的和内容2.总体设计3.硬件设计:原理图(接线图)及简要说明4.软件设计框图及程序清单5.设计结果和体会(包括遇到的问题及解决的方法)四、系统总体设计根据设计要求,初步思路如下:1)计时单元由定时/计数器8253的通道0来实现。
定时采用硬件计数和软件技术相结产生一定的定时时间,然后再利用软件进行计数,从而实8253合的方式,即通过.在中断服务程序中定时时间到了之后产生中断信号,8253小时制定时。
现248253 实现时、分、秒的累加。
数码管分别显示时、分、秒,采用动态扫描个LED2)时间显示采用实验平台上的6 方式实现。
校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。
按键包括校时键、闹钟定)3 1键等。
时键、加1键和减的一个端口,通过输出电平的高低来报警声响用蜂鸣器产生,将蜂鸣器接到82554)控制蜂鸣器的发声。
系统硬件设计主要利用微机实验平台上的电路模块。
硬件电路主要由键盘电路、单显示电LED8255并行接口单元、8259中断控制器、脉冲产生单元、8253定时计数器、所示。
路和蜂鸣器电路等等。
系统的硬件电路设计框图如图1 8253键盘电路8255微蜂鸣器电路机系统8259单脉冲发生单元数码管显示电路1 硬件电路框图图五、硬件设计由于实验平台上根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,硬件电路的用户在使用时只要设计模块间电路的连接,因此,的各个功能模块已经设计好,所示。
专业:班级:姓名:学号:指导老师:多功能数字钟课程设计任务书1.设计目的与要求设计一个数字钟。
准确地理解有关要求,独立完成系统的设计,要求所设计的电路具有以下功能:(1)设计的数字钟能直接显示“时”,“分”,“秒”;12与24计数实时转换;(2)当电路发生走时误差时,要求电路具的校时功能;(3)能够上,下午显示;(4)具有定时提醒功能;2.设计内容(1)画出电路原理图,正确使用逻辑关系;(2)确定元器件及元件参数;(3)进行电路模拟仿真;(4)SCH文件生成与打印输出;3.编写设计报告写出设计的全过程,附上有关资料和图纸,4.心得体会。
多功能数字钟电路的设计设计主要内容:本电路利用晶振和循环分频器产生秒脉冲,作为触发秒、分、时计数器的触发信号;各计数器通过译码器、7段数码管显示时间。
另外,电路设有定时、调时、校时、12与24小时实时转换及上下午显示电路。
所用器件及芯片:多谐振荡器、分频器、寄存器、计数器、译码器。
1 引言我们日常生活离不开时间,尤其是随着现代文明的进步,人们的时间观念越来越强,甚至有些工作人员用自己的工作时间的长短来衡量工作效率,可见数字钟已经到了与人行影不离的地步。
数字钟为我们的日常生活提供了便利,它采用集成电路,具有时间准确,体积小,携带方便,数字显示清晰直观。
下面介绍一般数字钟的电路设计。
2 总体设计方案2.1 设计思路利用555定时器产生稳定度很高的高频方波信号,经分频电路,将高频方波分频为1HZ 的秒脉冲波,输入到六十进制的秒计数器,秒计数器和分计数器都是有一个个位十进制当秒3设计原理分析 3.1 振荡器3.1.1 555内部电路555定时器内部结构的简化原理如图2所示。
它由3个阻值为5K 的电阻组成的分压器,两个电压比较器C 1和C 2和一个基本RS 触发器,放电BJT T 组成。
定时器的主要功能取决于比较器,比较器的输入控制RS 触发器和放电BJT T 的状态。
图中4脚为复位输入端,当4脚电压为低电平时,不管其他输入端的状态如何,输出V 0为低电平。
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
多功能数字钟的设计与实现项目设计方案1 数字钟设计功能及方案论证基本功能:1)设计一个数字钟。
要求用六位数码管显示时间,格式为00:00:00。
2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。
3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。
扩展功能:1)设计提供连续触发脉冲的脉冲信号发生器,2)具有校时单元、闹钟单元和整点报时单元。
方案论证:方案一:用逻辑门电路直接搭接数字钟电路,此方案所需元件众多,频率稳定性差,电路复杂,所以不采用此方案。
方案二:用计数器74LS90以及译码器74LS48等芯片组成电路,用555振荡器及分频器产生1Hz信号供计数器技术,较之第一种方案容易实现。
方案三:用单片机实现计数及显示等,这种方案简单明了,电路简单只需要写好程序就可以,容易达到任务要求。
但单片机对个人能力要求较高,鉴于还没有学习单片机方面知识,所以不使用第三种方案。
综上,决定采用第二种方案。
2 设计原理及框图1)计数器电路:计数器电路由秒计数器、分计数器及时计数器构成。
根据设计要求,其中,时计数器为24进制,分计数器及秒计数器为60进制计数器。
2)译码显示电路:由74LS48芯片组成的译码电路将计数器输出的8421BCD码转化为数码管所需的逻辑状态,并为保证数码管正常工作提供足够工作电流。
3)整点报时电路:在数字钟电路出现整点时,数字钟会自动报时,其工作方式是发出连续的音频声波,复杂一些的可以是实时语音或音乐提示。
4)定时闹钟电路:要求可以设定一个指定的时间,是数字钟在指定时刻发出信号,使蜂鸣器“闹时”。
5)555振荡器电路:石英晶体振荡器电路给数字钟提供一个频率稳定的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
6)分频器电路:分频器电路将32768HZ的高频方波信号经分频后得到1Hz的方波信号供秒计数器进行计数。
数字钟原理框图如下:图1.1 数字钟原理框图3 电路模块分析3.1计数器电路计数器电路我选择的是74LS90芯片。
数字钟多功能课程设计一、课程目标知识目标:1. 学生能理解数字钟的基本原理和组成,掌握电子元器件的功能和连接方式。
2. 学生能够运用所学知识设计具有多种功能的数字钟,如闹钟、计时器等。
3. 学生了解数字钟在日常生活和科技领域的应用,拓展知识视野。
技能目标:1. 学生能够运用编程软件进行数字钟程序的编写和调试,提高编程能力。
2. 学生通过动手实践,培养电路搭建和排错能力,提高实践操作技能。
3. 学生能够运用团队协作和沟通技巧,共同完成数字钟的设计和制作。
情感态度价值观目标:1. 学生对电子技术和编程产生兴趣,激发探索精神和创新意识。
2. 学生在课程学习中,培养耐心、细心和责任心,养成良好的学习习惯。
3. 学生通过团队协作,学会分享和互助,培养合作精神和集体荣誉感。
课程性质:本课程为实践性较强的课程,结合理论知识与动手操作,注重培养学生的实际操作能力和团队协作能力。
学生特点:六年级学生具备一定的电子知识和编程基础,好奇心强,喜欢动手实践,但注意力集中时间较短,需要激发兴趣和引导。
教学要求:教师应注重理论与实践相结合,以学生为主体,引导他们自主探究和解决问题。
同时,关注学生的个体差异,给予个性化指导,确保课程目标的达成。
通过课程学习,使学生将所学知识内化为具体的学习成果,提高综合素质。
二、教学内容1. 数字钟原理:介绍数字钟的基本工作原理,包括晶振、计数器、显示器件等组成部分。
- 教材章节:第二章《数字电路基础》- 内容列举:晶振振荡原理、计数器工作原理、显示器件原理。
2. 电子元器件:讲解常用电子元器件的类型、功能及使用方法。
- 教材章节:第三章《常用电子元器件》- 内容列举:电阻、电容、二极管、三极管、集成电路等。
3. 程序设计:学习数字钟程序设计方法,包括编程语言、编程软件的使用。
- 教材章节:第五章《编程语言与程序设计》- 内容列举:C语言基础、编程软件操作、程序调试方法。
4. 电路搭建与排错:实践数字钟电路的搭建和调试,培养动手能力。
课程设计任务书题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。
用数码管显示时间计数值。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:1)设计一个数字钟。
要求用六位数码管显示时间,格式为00:00:00。
2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。
3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。
4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。
6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
3、查阅至少5篇参考文献。
按《******大学课程设计工作规范》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规范。
时间安排:1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。
2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。
3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。
4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 引言 (1)1.1 数字钟简介 (1)1.2 EWB简介 (1)2 方案选择 (3)3 系统框图 (4)4 分电路设计 (5)4.1 脉冲产生电路 (5)4.1.1设计要求 (5)4.1.2所需元件 (5)4.1.3元件介绍 (5)4.1.4参数计算 (6)4.1.5电路设计 (7)4.2计数电路 (8)4.2.1秒电路 (8)4.2.2分电路 (10)4.2.3时电路 (12)4.3显示电路 (13)4.3.1所需元件 (13)4.3.2元件介绍 (13)4.3.3原理说明 (13)4.3.4电路设计 (13)4.4整点报时电路 (14)4.4.1设计要求 (14)4.4.2所需元件 (14)4.4.3元件介绍 (14)4.4.4参数计算 (15)4.4.5原理说明 (15)4.4.6电路设计 (15)4.5校时电路 (16)4.5.1设计要求 (16)4.5.2所需元件 (16)4.5.3元件介绍 (17)4.5.4原理说明 (17)4.5.5电路设计 (17)4.6闹钟电路 (17)4.6.1设计要求 (17)4.6.2所需元件 (17)4.6.3元件介绍 (18)4.6.4原理说明 (20)4.6.5电路设计 (20)5总电路图 (21)6心得体会 (22)7元件清单 (23)8参考文献 (25)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。
与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,如今已得到广泛的使用。
数字钟的设计方法有许多种,例如可用中小规模集成电路组成数字钟,也可以利用单片机来实现数字钟等等。
这些方法都各有其特点。
本次设计用的是中小规模集成电路,以实现数字钟的显示、校时、闹钟、报时等功能。
EWB软件,全称为ELECTRONICS WORKBENCH EDA,是交互图像技术有限公司在九十年代初推出的EDA软件,用于模拟电路和数字电路的混合仿真。
EWB是一款小巧,但是仿真功能十分强大的软件。
本次设计将用EWB软件进行仿真。
关键词:数字钟;设计;EWB;仿真AbstractDigital clock is a sort of clock which can be made to time hours、minutes and seconds through digital circuit technology. Compared with mechanical clock, digital clock has higher accuracy and intuition and longer service life. It has been widely used nowadays. There are mang kinds of methods to design the digital clock. For example, small and medium scale integrated circuit can be used to design it. Micro controller can also de used to design digital clock and etc. There are different characteristics in different methods. This time, small and medium scale integrated circuit will be used to achieve the functions of the digital clock such as display、timing、alarm clock、giving the correct time.EWB, whose full name is ELECTRONICS WORKBENCH EDA, is the Interactive Image Technology Ltd l aunched in the early ninety’s EDA software, which can be used to achieve the mixed simulation of analogous circuit and digital circuit. EWB is a small software but it has a powerful function of simulation. The simulation of this design will be made through EWB.Keywords:digital clock;design;EWB;simulation1 引言1.1 数字钟简介数字钟是日常生活中常见的一种工具,大到机场等公共场所的时间屏幕,小到我们的手表、闹钟等。
数字钟实际上是一个对标准频率进行计数的计数电路。
它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。
数字钟是由振荡器、计数器、译码器、显示器、校时电路、报时电路、闹钟电路组成,振荡器产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过时、分、秒译码器显示时间。
秒脉冲是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。
时计数器采用24进制计时器,可实现对一天24小时的计时。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现报时。
校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
闹钟电路则是通过数值比较器来实现。
1.2 EWB简介EWB是一种电子电路计算机仿真软件,它被称为电子设计工作平台或虚拟电子实验室,英文全称为Electronics Workbench。
EWB是加拿大Interactive Image Technologies公司于1988年开发的。
EWB以SPICE3F5为软件核心,增强了其在数字及模拟混合信号方面的仿真功能。
SPICE3F5是SPICE的最新版本,SPICE自1972年使用以来,已经成为模拟集成电路设计的标准软件。
相对其它EDA软件而言,它是个较小巧的软件,只有16M,功能也比较单一,就是进行模拟电路和数字电路的混合仿真,但它的仿真功能十分强大,可以几乎100%地仿真出真实电路的结果,而且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、逻辑转换器等工具,它的器件库中则包含了许多大公司的晶体管元器件、集成电路和数字门电路芯片,器件库中没有的元器件,还可以由外部模块导入,在众多的电路仿真软件中,EWB是最容易上手的,它的工作界面非常直观,原理图和各种工具都在同一个窗口内,未接触过它的人稍加学习就可以很熟练地使用该软件,对于电子设计工作者来说,它是个很好的EDA工具,许多电路无需动用烙铁就可得知它的结果,而且若想更换元器件或改变元器件参数,只需点点鼠标即可,它也可以作为电学知识的辅助教学软件使用。
2 方案选择方案一:采用中小规模集成电路实现采用中小规模集成逻辑电路设计可以实现数字钟的时、分、秒计时功能、定点报时功能、校时功能、闹钟功能,计时模块采用时钟信号触发,不需要程序控制。
所有功能模块的主要部件都使用集成芯片。
此方案正是所学的知识的实际应用,可以加深对逻辑电路的了解,符合此次设计的要求。
方案二:EDA技术实现采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。
但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展。
且涉及的大多是未学的知识,不能达到此次设计的目的。
方案三:单片机编程实现利用AT89S51单片机和74HC573八位锁存器以及利用C语言对AT89S51进行编程来实现数字钟的时间显示。
单片机的相关知识尚未学习,而且运用单片机也不符合运用数字电路完成设计的初衷,不能达到此次设计的目的。
综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,而且正好是所学知识的应用,可以对逻辑器件的使用增加经验,同时符合此次数字电路知识设计的要求。
3 系统框图图3.1 系统框图4 分电路设计4.1 脉冲产生电路4.1.1设计要求要求设计出一个能产生一秒周期信号的电路。
用555定时器作为基础,调节外界参数R和C,使得振荡周期为1秒。
4.1.2所需元件导线若干、555定时器、1000kΩ、200kΩ、20kΩ、1kΩ、300Ω、40Ω、8Ω电阻各一个、1μF、0.01μF电容各一个。
4.1.3元件介绍555定时器:555定时器是一种模拟和数字功能相结合的中规模集成器件。
内部结构图:图4-1 555定时器内部结构图引脚图:引脚功能注释:表4-1 555定时器引脚功能功能表:表4-2 555定时器功能表清零端高触发端TH低触发端 Q 放电管T功能0 × × 0 导通 直接清零 1 0 1 x保持上一状态保持上一状态 1 1 0 1 截止 置1 1 0 0 1 截止 置1 1110 导通清零4.1.4参数计算由555定时器构成的多谐振荡器由电阻R1、R2,电容C 以及旁路电容Cp 等组成。