EDA仿真综合实训
- 格式:doc
- 大小:191.50 KB
- 文档页数:11
Beijing Jiaotong University EDA实验设计报告指导老师:刘彪学院:电子信息工程学院姓名:黄家维学号:13213012班级:电子1301实验地点:电气楼406实验日期:2014年5月29日星期四实验一熟悉QuartusII软件的安装及使用(4学时)我们通过下载及安装,可在电脑上运行Altera Quartus II。
打开软件,新建一个工程,在工程底下新建一个VHDL File,即可实现编程功能。
编完程序后点击Start Compilation可试运行程序,待编写的程序运行无误后,再在此工程下新建一个Vector Waveform File,给程序中的输入变量赋值,保存后点击Start Simulation即可实现程序的仿真。
实验二、组合逻辑电路的设计实验方案设计、实验方法、1.实验方案8-3优先编码器的VHDL描述有多种方法,设计过程中可以根据真值表采用case…when语句、with…select语句、if…then结构等多种手段实现,也可以根据真值表分析输入输出间的逻辑关系,根据逻辑关系写出其布尔表达式,根据布尔代数式调用基本逻辑门元件实现8-3优先编码器。
本实验中根据真值表用if-then结构实现8-3优先编码器2.实验方法首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。
实验步骤1.设计输入利用FILE\New菜单输入VHDL源程序,创建源文件2.设计项目的创建1)原文件存储…..2)利用FILE\Project\Set Project…3.设计编译….4.器件选择及管脚分配…..5.设计仿真…..6.时序分析…..7.编程下载(可选)….LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ENCODER ISPORT(D:IN STD_LOGIC_VECTOR(0 TO 7);A:OUT STD_LOGIC_VECTOR(0 TO 2));END ;ARCHITECTURE XIANI OF ENCODER IS BEGINPROCESS(D)BEGINIF (D(7)='0')THEN A<="111";ELSIF (D(6)='0')THEN A<="110";ELSIF (D(5)='0')THEN A<="101";ELSIF (D(4)='0')THEN A<="100";ELSIF (D(3)='0')THEN A<="011";ELSIF (D(2)='0')THEN A<="010";ELSIF (D(1)='0')THEN A<="001";ELSIF (D(0)='0')THEN A<="000";ELSE A<="ZZZ";END IF;END PROCESS;END;1用CASE语句设计一个4-16译码器。
EDA实训总结报告EDA实训总结报告合肥学院学生EDA实训总结报告合肥学院电子系EDA实训总结报告系别电子系专业电子信息工程班级姓名年少轻狂学号指导老师成绩201*年9月8日EDA实训总结报告合肥学院电子系09级电子信息工程,姓名:李金山学号:0905075006摘要:经过两周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。
我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。
一、电路原理图及元器件库设计1.原理图设计电路原理图的设计主要是protel99se的原理图设计系统(AdvancedSchematic)来绘制一张电路原理图。
在这一过程中,要充分利用protel99se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。
绘制简单电路原理图过程:首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel99se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。
例如如下时钟电路原理图:当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。
生成网络表和元器件材料清单。
电气规则测试:分析检查报告内容,修改错误。
时钟电路网络表2.元器件库设计在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。
我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。
如:我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。
一、课程设计的内容1、学习EDA仿真技术,并使用Multisim仿真软件完成指定训练内容。
2、学习SOPC技术,并在FPGA上完成相关内容,掌握和理解SOPC的真正内涵。
3、通过学习了解Synopsys软件,掌握IC设计基础。
二、课程设计的要求与数据1、严格按照分组情况进行实训;2、完成指定的设计任务;3、相关设计数据要填入指定表格;4、课程设计的报告严格按照学校指定格式执行;5、实训期间不得迟到早退,否则将严肃处理。
三、课程设计应完成的工作1、学习Multisim仿真软件,并完成以下设计任务:Lab1-4必做,Lab5-9选做任意数量。
2、学习SOPC技术,并完成以下设计任务:Task1-4选作一题,Task5必做。
3、学习IC设计技术基础,并完成以下设计任务:Synopsys IC设计基础,主要学习linux基本操作,IC设计基本流程,概念,完成Design Compiler综合工具实验。
四、课程设计进程安排五、各实验相关内容和结果。
A、SOPC技术运用SOPC技术运用这一实验要求完成的是task5和选做task1-4中的一个,这里选择的是task1. A1、Task5主要是学习创建Nios2系统和通过利用Nios开发板熟悉软件设计流程。
在软件设计流程时,改Buttons的值为0xe后点击resume运行if语句后variables的值将会改变,如图示:这里附上Nios2的原理图:A2、Task1主要完成对数据存储和读取电路的设计,这里数据存储和读取电路以一个双端口SRAM 为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED 七段译码,送LED 数码管显示。
具体内容是用一个4 位二进制计数器产生存取地址;以一个 4位的十进制计数器产生欲存储的数据;读出的数据自然也是一个4位的十进制数,将它送给七段数码管显示出来。
这里我门主要设计4位的十进制计数器和4位的二进制计数器以及七段LED显示译码器LEDSP,这里要采用16×4bit的异步双端口SRAM模块DSRAM4而库里边的lpm. lpm_componets在这里边出了些问题,所以直接从Quartus的库里边直接找lpm_ram_dp模块。
EDA仿真实验报告EDA仿真实验报告姓名:学号:班级:一.实验目的1.了解EDA技术的发展、应用。
2.学习Multisim的使用。
二.实验内容1.与非门实现四舍五入2.用74LS138和必要的门电路设计一个表决电路3.用74LS85设计四位数值比较器三.实验软件与环境1.EDA技术EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。
2.EDA的应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。
主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。
3.MultisimMultisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。
本实验使用了NI Multisim 14.0 软件。
四.实验内容与步骤1.与非门实现四舍五入电路(只能用与非门)真值表:逻辑表达式:F=m(5,6,7,8,9)2.用74LS138和必要的门电路设计一个表决电路真值表:F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MB C+ABC 电路方面要注意输出为低电平,所以要用与非门。
3.用74LS85设计四位数值比较器电路方面注意74LS85正确使用,控制端要接A=B以便使两个四位数从高位开始比较,如果高位相等再比较次高位,以此类推。
五.实验结果1.与非门实现四舍五入电路(只能用与非门)一共有三个图,分别是输入0(四舍),输入9(五入)和无效输入。
EDA综合设计1、七人表决器2、数字抢答器班级:电信一班姓名:马莎莎学号:2220102802EDA综合设计实验课题一、设计目的1、掌握用VNDL硬件描述语言做数字电路综合设计的方法。
2、熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法并下载到目标芯片。
二、实验仪器ZY11EDA13BE型试验箱。
三、实验课题(一)、设计一个七人表决器1、流程图2、顶层原理图3、程序清单(1)、biaojueqiLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity biao isport (xin: in std_logic_vector ( 6 downto 0 );xout: out std_logic;xout0,xout1: out std_logic_vector ( 6 downto 0 ));end entity ;architecture bev of biao isbeginprocess ( xin )variable j: integer :=0;beginj:=0;for i in 0 to 6 loopif xin(i)='1' thenj:=j+1;end if;end loop;if j>3 thenxout<='1';else xout<='0';end if;case j isWHEN 0=>xout1<="1111110";WHEN 1=>xout1<="0110000";WHEN 2=>xout1<="1101101";WHEN 3=>xout1<="1111001";WHEN 4=>xout1<="0110011";WHEN 5=>xout1<="1011011";WHEN 6=>xout1<="1011111";WHEN 7=>xout1<="1110000";WHEN OTHERS=>xout1<="0000000";end case;case j isWHEN 7=>xout0<="1111110";WHEN 6=>xout0<="0110000";WHEN 5=>xout0<="1101101";WHEN 4=>xout0<="1111001";WHEN 3=>xout0<="0110011";WHEN 2=>xout0<="1011011";WHEN 1=>xout0<="1011111";WHEN 0=>xout0<="1110000";WHEN OTHERS=>xout0<="0000000";end case;end process;end architecture bev;(2)、mux2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY mux2 ISPORT(CNTL,CNTH :IN STD_LOGIC_VECTOR(6 DOWNTO 0);CNTOUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);SEL:in STD_LOGIC_VECTOR(2 DOWNTO 0));END mux2;ARCHITECTURE BEHA V OF mux2 ISBEGINPROCESS(sel)BEGINCASE sel ISWHEN"000"=>CNTOUT<=CNTL;WHEN"001"=>CNTOUT<=CNTH;WHEN OTHERS=>CNTOUT<="0000000";END CASE;END PROCESS;END BEHA V;(3)、cnt2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt2 ISPORT(CP,RESET:IN STD_LOGIC;SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END cnt2;ARCHITECTURE BEHA V OF cnt2 ISSIGNAL SEC:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGINPROCESS(RESET,CP)BEGINIF(RESET='0')THENSEC<="000";ELSIF(CP'EVENT AND CP='1')THENIF(SEC="001")THENSEC<="000";ELSESEC<=SEC+1;END IF;END IF;END PROCESS;SEL<=SEC;END BEHA V;4、仿真波形5、引脚号码锁定分布表实验符号对应附录符号管脚Xin[0] K1 PIN_45Xin[1] K2 PIN_46Xin[2] K3 PIN_47Xin[3] K4 PIN_53Xin[4] K5 PIN_54Xin[5] K6 PIN_55Xin[6] K7 PIN_56Sel[0] A PIN_7Sel[1] B PIN_8Sel[2] C PIN_9clk 9 PIN_79clear K8 PIN_57xout LED16 PIN_44Seg[0] a PIN_10Seg[1] b PIN_11Seg[2] c PIN_12Seg[3] d PIN_13Seg[4] e PIN_14Seg[5] f PIN_15Seg[6] g PIN_166、生成模块符号(二)、数字抢答器1、设计方框图2、顶层设计原理图3、程序清单(1)、qiangdajianbelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qdjb isport(rst,start,clk2:in std_logic;s0,s1,s2:in std_logic;states:buffer std_logic_vector(3 downto 0);c0,c1,c2 :buffer std_logic;tmp,q,p:out std_logic);end qdjb;architecture one of qdjb isbeginprocess(s0,rst,start,s1,s2,clk2)beginif rst='0' thenq<='0';tmp<='0';states<="0000";elsif start='0' thenp<=s0 or s1 or s2 ;if (s0='1' )then states<="0001";end if ;if (s1='1' ) then states<="0010";end if ;if (s2='1' ) then states<="0011";end if ;elsif clk2'event and clk2='1'and start='1' then if (s0='1' )then states<="0001";c0<='1';c1<='0';c2<='0';end if ;if (s1='1' ) then states<="0010";c0<='0';c1<='1';c2<='0';end if ;if (s2='1' ) then states<="0011";c0<='0';c1<='0';c2<='1';end if ;q<=s0 or s1 or s2 ;tmp<=not(s0 or s1 or s2) ;end if ;end process ;end one;(2)、cnt20library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity CNT20 isport(CLK,EN,CR :in std_logic;co :out std_logic;QH,QL:out std_logic_vector(3 downto 0));end CNT20;architecture a of CNT20 issignal QNH,QNL :std_logic_vector(3 downto 0);beginco<='1'when(QNL=0 and QNH=0 and EN='1')else'0';process(CLK,CR)beginif(CR='0')thenQNH<="0001";QNL<="1001";elsif(CLK'EVENT and CLK='1')thenif(EN='1')thenif QNL=0 and QNH=0 thenQNL<="1001";QNH<="0001";elsif QNL=0 thenQNL<="1001";QNH<=QNH-1;elseQNl<=QNl-1;end if;end if;end if;end process;QH<=QNH;QL<=QNL;end a;(3)、mux2library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity mux2 isport(in1,in2:in std_logic;sel:in std_logic;q:out std_logic);end mux2;architecture arc_mux2 of mux2 isbeginq<=in1 when sel='0'elsein2 when sel='1';end arc_mux2;(4)、dongtaisaomiaolibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sjxz isport (a,b,c: in std_logic_vector(3 downto 0);clk2,rst: in std_logic;s: out std_logic_vector(2 downto 0);y: out std_logic_vector(3 downto 0) );end sjxz;architecture body_chooser of sjxz issignal count: std_logic_vector (2 downto 0);begins<=count;process(clk2,rst)beginif(rst='0')then count<="000";elsif(clk2'event and clk2='1')thenif(count>="010")thencount<="000";else count<=count+1;end if;end if;case count iswhen "000"=>y<=a;when "001"=>y<=b;when "010"=>y<=c;when others=>null;end case;end PROCESS;end body_chooser;(5)、BCDdecoderLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY YMQ ISPORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0);DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END YMQ;ARCHITECTURE ART OF YMQ ISBEGINPROCESS(AIN4)BEGINCASE AIN4 ISWHEN "0000"=>DOUT7<="1111110"; --0 WHEN "0001"=>DOUT7<="0110000"; --1 WHEN "0010"=>DOUT7<="1101101"; --2 WHEN "0011"=>DOUT7<="1111001"; --3 WHEN "0100"=>DOUT7<="0110011"; --4 WHEN "0101"=>DOUT7<="1011011"; --5 WHEN "0110"=>DOUT7<="1011111"; --6 WHEN "0111"=>DOUT7<="1110000"; --7 WHEN "1000"=>DOUT7<="1111111"; --8 WHEN "1001"=>DOUT7<="1111011"; --9 WHEN OTHERS=>DOUT7<="0000000";END CASE;END PROCESS;END ARCHITECTURE ART;4、仿真波形5、引脚号码锁定分布表实验符号对应附录符号管脚rst1 K1 PIN_45Rst3 K3 PIN_47start K2 PIN_58S0 K5 PIN_54S1 K6 PIN_55S2 K7 PIN_56Clk1 9 PIN_79Clk2 7 PIN_80Clk3 8 PIN_183Warn LED16 PIN_44Warn8 LED15 PIN_41dout[0] a PIN_10dout[1] b PIN_11dout[2] c PIN_12dout[3] d PIN_13dout[4] e PIN_14dout[5] f PIN_15dout[6] g PIN_16Sel[0] A PIN_7Sel[1] B PIN_8Sel[2] C PIN_9 6、生成模块符号四、实验总结这次EDA课程设计,学到了很多很多的东西,不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。
2024年eda实训心得体会作为2024年的实训生,我有幸参加了EDA(Electronic Design Automation)实训课程,这次实训对我来说是一个宝贵的机会来锻炼自己的技能和知识。
在这____字的心得体会中,我将会分享我在实训中的收获、成长和体会。
首先,我要感谢实训团队为我们提供了一个全面的学习环境和设施,让我们能够充分地利用实践机会进行自我提升。
实训期间,我们使用了最新的EDA工具和技术,对EDA的基本原理和应用有了深入的理解。
在实训中,我们完成了一系列的项目任务,从简单到复杂,从理论到实践,每个项目都带给我新的挑战。
在这个过程中,我主要参与了数字电路设计和验证的项目。
首先,我们学习了数字电路的基本原理和设计方法,了解了几种常用的数字逻辑门和触发器,并学会了使用EDA工具进行电路设计和验证。
我们通过实践,掌握了设计和验证数字电路的整个流程,从问题的定义、电路的设计、到验证和仿真,再到布局布线和物理验证。
在设计数字电路的过程中,我遇到了很多技术难题和挑战,但通过与同学们的合作和老师的指导,我解决了许多问题。
我学会了如何分析和解决设计中的错误和故障,以及如何优化和改进设计。
这个过程不仅锻炼了我的技术能力,还提高了我的团队合作能力和解决问题的能力。
除了技术方面的学习,实训中还加强了我的团队合作和沟通能力。
在团队项目中,我们需要与其他成员密切合作,共同解决问题并完成任务。
我们学会了如何有效地进行团队合作和分工,如何利用各自的优势来实现项目目标。
在项目过程中,我们还需要与指导老师和工作人员进行良好的沟通和协调,以确保项目的顺利进行。
在实训期间,我还参加了各种专题讲座和技术交流活动,与其他实训生和行业专家进行了广泛的交流和学习。
通过这些活动,我拓宽了自己的知识视野,了解了最新的技术发展和行业动态。
我还结识了许多志同道合的同学和行业专家,与他们进行了深入的交流和合作,在交流中不断提高自己的技术和思维能力。
EDA仿真实验报告院系:电信学院学号:姓名:实验四、组合逻辑电路仿真实验一、组合逻辑电路分析仿真实验A B CU1A 74LS00DU1B 74LS00DU1C 74LS00D U2A74LS10DU2B74LS10DFXLC1A B将所得真值表填入表格1A BCF逻辑功能0 0 0 0 实 现 A,B,C 的 异 或0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 111最简逻辑函数表达式F= A ’C+AB ’+BC ’依据真值表和逻辑函数表达式分析该逻辑电路的逻辑功能二、 组合逻辑电路设计仿真实验设计一个燃油锅炉报警逻辑电路:燃油喷嘴处于开启状态时,如果锅炉水温或者烟道温度过高则发出报警信号。
1、 根据实际问题,进行逻辑抽象,确定输入变量和输出变量,并进行逻辑赋值。
2、 在逻辑转换仪上列真值表并求出最简表达式。
3、 利用真值表求得逻辑电路图。
4、 验证逻辑是否正确。
①油嘴状态:1开启0关闭 报警信号:1报警0不报警 锅炉水温:1高0低 烟道温度:1高0低真值表Y(报警信号) A(油嘴状态) B(锅炉水温) C(烟道温度)0 1 0 01 1 0 11 1 1 01 1 1 10 0 XX②③三、常用组合逻辑电路部件功能测试仿真实验1、编码器逻辑功能仿真实验U174LS148DA09A17A26GS 14D313D41D52D212D111D010D74D63EI5EO15J1Key = A J2Key = B J3Key = C J4Key = D J5Key = E J6Key = F J7Key = G J8Key = HVCC5V集成8线-3线优先编码器真值表:输入 输出 0 1 2 3 4 5 6 7 A2 A1 A0 X X X X X X X 0 0 0 0 X X X X X X 0 1 0 0 1 X X X X X 0 1 1 0 1 0 X X X X 0 1 1 1 0 1 1 X X X 0 1 1 1 1 1 0 0 X X 0 1 1 1 1 1 1 0 1 X 0 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 11111111111分析验证集成8线-3线优先编码器的逻辑功能2、译码器逻辑功能仿真实验 2.1 3-8译码器逻辑功能仿真XWG1RT X O X XO O 0161531XLA1C Q T1FVCC 5VAB C Y0Y1Y2Y3Y4Y5Y6Y774LS138DY015Y114Y213Y312Y411Y510Y69Y77A 1B 2C 3G16~G2A 4~G2B5字产生信号发生器 逻辑显示器 (1)真值表输入 输出G1 G2A+G2B A B C Y 0’ Y 1’ Y 2’ Y 3’ Y 4’ Y 5’ Y 6’ Y 7’ 0 X 1 1 1 1 1 1 1 1X 1 0 0 0 0 0 0 0 0X X X X X X 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0(2)逻辑分析仪工作波形分析验证集成3-8译码器的逻辑功能2.2七段显示译码器逻辑功能仿真XWG1RT X O X XO O 0161531AB C D 4511BD_5VDA 7DB 1DC 2DD 6OA 13OD 10OE 9OF 15OC 11OB 12OG14~EL 5~BI 4~LT3VCC 5V470¡Á7U1A B C D E F GCK真值表输入输出 D C B A OA OB OC OD OE OF OG 数码显示的数字0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 1 0 1 1 0 0 0 0 1 0 0 1 0 1 1 0 1 1 0 1 2 0 0 1 1 1 1 1 1 0 0 1 3 0 1 0 0 0 1 1 0 0 1 1 4 0 1 0 1 1 0 1 1 0 1 1 5 0 1 1 0 0 0 1 1 1 1 1 6 0 1 1 1 1 1 1 0 0 0 0 7 1 0 0 0 1 1 1 1 1 1 1 8 1 0 0 11 1 1 1 0 0 193、数据选择器逻辑功能仿真实验YJ1Key = CVCC5VJ2Key = B J3Key = ACBAU174LS151D~W6D04D13D22D31D415D514D613D712A 11C 9B 10Y 5~G7真值表输入输出 输入 输出 C B A F C B A F 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 1 0 1 0 1 1 1 0 0 0 11111分析验证8选1数据选择器74LS151D 的逻辑功能实验五、时序逻辑电路仿真实验实验目的:1、掌握常用触发器的逻辑功能和时序特性。
基于eda的实训心得3篇EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
下面是基于eda的实训心得,希望可以帮到大家。
篇一:基于eda的实训心得短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。
一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。
此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。
最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。
总的来说,这次实训我收获很大。
同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。
这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。
本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。
从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。
eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。
在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。
本篇报告将介绍我在EDA仿真实验中的经验和收获。
二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。
通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。
三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。
在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。
2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。
在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。
3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。
这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。
4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。
通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。
5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。
通过对比仿真输出和预期结果,可以判断电路设计的正确性。
如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。
四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。
通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。
无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。
在实验过程中,我还发现了一些有趣的现象。
例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。
实验一RLC 串联电路频响仿真一.电路原理固定R 、L 、C 的值,并保持信号源电压不变,根据所选的L 、C 值求固有频率:LC π21f 0=,改变输入电压的频率或者电路参数均可使电路发生谐振二.Multisim 电路设计图三.仿真分析1. 计算出频率为15.923kHz2.仿真内容包括幅频、相频特性,给出相应图示幅频特性仿真图相频特性仿真图仿真波形图3.实验分析品质因数与选频作用Q值越高,曲线越尖锐,电路的选择性越好,通频带也越窄从Multisim 10仿真软件进行RLC串联谐振电路实验的结果来看,RLC串联谐振电路在发生谐振时,电感上的电压UL与电容上的电压Uc大小相等,相位相反。
这时电路处于纯电阻状态,且阻抗最小,激励电源的电压与回路的响应电压同相位。
谐振频率fo与回路中的电感L和电容C有关,与电阻R和激励电源无关。
品质因数Q值反映了曲线的尖锐程度,电阻R的阻值直接影响Q值.四.总结与展望本次实验用Multisim仿真软件对RLC串联谐振电路进行分析,设计出了准确的电路模型,也仿真出了正确的结果。
并且得到了RLC串联谐振电路有几个主要特征1.谐振时,电路为阻性,阻抗最小,电流最大。
可在电路中串入一电流表,在改变电路参数的同时观察电流的读数,并记录,测试电路发生谐振时电流是否为最大。
2.谐振时,电源电压与电流同相。
这可以通过示波器观察电源电压和电阻负载两端电压的波形中否同相得到。
3.谐振时,电感电压与电容电压大小相等,相位相反。
这可以通过示波器观察电感和电容两端的波形是否反相得出,还可用电压表测量其大小。
总的来说,本次实验比较成功,不仅仿真出了正确的结果,也对Multisim仿真软件的功能及其应用也有了更深的提高实验二.三相电路仿真实验一.电路原理1、负载应作星形联接时,三相负载的额定电压等于电源的相电压。
这种联接方式的特点是三相负载的末端连在一起,而始端分别接到电源的三根相线上。
2、负载应作三角形联接时,三相负载的额定电压等于电源的线电压。
目录
一、EWB仿真
(一)模拟电路仿真
(二)数字电路仿真设计
1)题目名称、设计任务和主要技术指标;
2)简述设计的思路及过程,重要参数的计算;
3)存在的问题及解决办法;
二、PROTEL99SE原理图及PCB设计
1、电路名称
2、原理图设计
3、PCB设计(三张图)+ 3D
4、元件清单
三、PROTUES单片机仿真
1、设计项目名称,实现功能
2、PROTUES仿真电路
3、程序清单(加注释)
4、调试过程,操作方法
四、心得体会
五、参考文献
一、EWB仿真
(一)模拟电路仿真
1、电路图
2、用示波器观察输入波形和输出波形
3、测量静态工作点;
由图示可知:基极电流Ib=18.99uA ;
集电极电流Ic=1.595mA ;
Uce=6.398V
4、测量电压放大倍数、输入电阻、输出电阻;
电压放大倍数:A=456.0188/28.1134=16.22
输入电阻:Ri=Ui/Ii
由仿真测试可知在某一个阶段
Ri=44.2mV/0.010mA=4.42 千欧
Ro=772.2mV/32.88mA=23.485 欧
5、改变参数的大小,观察输出波形的变化,记录产生失真时的参数;1)调节RW(饱和失真、截止失真)
饱和失真:
截止失真:
2)加大输入信号;
饱和失真底部截止
3)、改变负载电阻的大小,观察输出波形的变化,讨论放大倍数随负载RL 的变化规律;
减小负载电阻:出现截止失真
加大负载电阻:出现饱和失真
可见,放大倍数随着负载RL的减小而减小。
增大而增大,成正比关系;
(二)数字电路仿真设计
1、设计一自动显示剩余车位的显示牌(设车位最多99个)。
(1)、电路图
(2)、实现目的;
现有车位99个,当每次进来一辆车时,车位显示就减少一个;当每次开走一辆车时,车位就递增一个;
(3)、设计
芯片74190十进制同步可逆计数功能、异步并行置数功能、保持功能;74190没有专用的清零输入端,但可以借助QA、QB、QC、QD的输出数据间接实现清零功能。
74190 状态表:
CTEN D/U CLK LOAD A B C D QA QB QC QD
0 X X 0 X X X X A B C D
0 1 POS 1 X X X X Count Down
0 0 POS 1 X X X X Count Up
1 X X X X X X X Qa0 Qb0 Qc0 Qd0
现选用两个74190芯片级联成一个从可任意设定时间00~99倒计至00的计数器,其中作为个位数的74190芯片的CLK接秒脉冲发生器(频率为1),再把个位数74190芯片输出端的QA、QD用一个与门连起来,再接在十位数74190芯片的CLK端。
当个位数减到0时,再减1就会变成9, 0(0000)和9(1001)之间的QA、QD同时由0变为1,把QA、QD与起来接在十位数的CLK端,此时会给十位数74190芯片一个脉冲数字减1,相当于借位。
(4)、调试;
按预期设计,画好电路图,如上图所示,按键A ,来表示每次进的车辆。
当按一次表示,进了一辆车,按两次,则表明有两辆车进入车库。
(5)、结果,及存在问题;
按预期,基本实现设计的初步要求,能显示99位车位以及,当来一辆车,车位就减少一个,但是,所设计出来的缺少递增的功能。
2、密码锁设计;
(1)、电路图:
(2)、实现目的;
现在通过74138译码器,设计组合电路,做一个六位的密码锁,当只有这六位调到正确的值时,通过指示灯才亮,表示密码正确;
(3)、设计;
芯片74138为3线-8线译码器,从其逻辑功能表可以看出使能端G1为高电平有效,/G2,/G3为低电平有效,当其中一个为低电平,输出端全部为1。
74138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门(因为每次仅一个为低电平,其余皆为高电平);
所以,可以利用其功能特点,利用2片74138构成的电路,实现当用开关作为低电平和高电平的转换,在将输出用一个与非门来控制,使得当电路中,输入正确的密码编号时,指示灯才会变亮,反正,当有一个开关没有打入正确,也不能使指示灯亮,基本上就实现了密码控制的功能;
(4)、调试;
将电路按设计要球连接好,如图所示。
当按键控制的 A,S,D,F,G,H
六位按键,来作为输入密码的控制。
当只有A、S、D、F、G、H 同时接到低电平时,观察指示灯,才发现指示灯亮,当其中的一个按键没有打到低电平,则灯不亮,说明输入的密码有误;
(5)、结果和存在问题
利用74138芯片,设计6位密码控制的密码锁,基本上实现了需要的功能,但是存在着一些问题,由于需要同时打到低电平,造成密码形式过于简单化,其实,在设计六位按键的同时,没有在用几个按键作为干扰作用,所以,这个设计并不是相当完整的电路设计图。
二、PROTEL99SE原理图及PCB设计
画出如下电路原理图,生成网络表,建立单层电路板,然后进行布线(底层)。
要求:
1、元件布局可自行设定,但元件引脚、形状必须与图示一致;
2、布线规则要求:
VCC和GND网络的线宽分别为40mil、50mil,
整板的线宽为30mil
走线间距约束:20mil
单片机焊盘加大为:孔径52mil、直径80mil
3、标准库中没有的元件或封装需要自行设计,在报告中列举这些元件的原理图、封装图,并注明尺寸;
4、打印内容
完整电路原理图
PCB:所有层面(标注电路板尺寸)、底层(显示焊盘孔)、丝印层
所设计元件的原理图、封装图及尺寸描述
材料清单
1、电路名称:定时控制电路;
2、原理图设计
上图为实验原理图;
3、PCB设计(三张图)+ 3D
4、元件清单
三、PROTUES单片机仿真
1、密码锁设计:
(1)、功能:设置8个按键,6个按键用于设置密码(三位),一个键用于确认,密码正确显示ON(go),密码错误显示OFF(STOP),一个键用于复位;
(2)、PROTUES仿真电路
(3)、程序清单(加注释)
(4)、调试过程,操作方法
2、
四、心得体会
开始拿到题目的时候,不知道怎么去做,因为自己对这门课的一般设计都不是很会.对很多的芯片的功能都不是很清楚.还有ewb仿真软件,以前才来没有接触过,只是现在需要的时候才开始用它,它的功能,按键都不清楚.
通过这次课程设计,加强了我动手、思考和解决问题的能力.现在设计已经做好了,自己感觉还是比较好的,虽然花了很多的时间,但学到了很多东西.做课程设计的时候,自己把整个书本都看了几遍,增强了自己对知识的理解,很多以前不是很懂的问题现在都已经一一解决了.在课程设计的过程中,我想了很多种方案,对同一个问题(像计数器的接法)都想了很多种不同的接法,运用不同的芯片进行了比较,最后还是采取了上面的方法进行连接.从开始做课程设计那天起,脑中天天都想着同样的问题,怎么去接线,怎么去把电路弄得更加简单,怎么别人更容易看懂.但似乎时间过得真的很快,我用了好几天才把它完全弄完,完成后,心里有一种说不出的高兴.
这次课程设计之后,使我明白了,做任何事情都要认真仔细,不然的话,你会花更多的时间才会做好.课程设计有利于提高我们的动手能力,能把我们所学的书本知识运用到实际生活中去.同时也丰富了我们的业余生活,提高我们对知识的理解能力.
五、参考文献
〔1〕胡道元.计算机局域网.北京:清华大学出版社,2002年
〔2〕江国强.现代数字逻辑电路习题指导.北京:电子工业出版社,2002年
〔3〕李宜达.数字逻辑电路设计与实现.北京:科学出版社,2004年
〔4〕蒋立平. 数字逻辑电路与系统设计. 北京:电子工业出版社,2008年。