波形发生器设计实验报告
- 格式:doc
- 大小:1.96 MB
- 文档页数:17
摘要(1)纯硬件设计波形发生器:采用运算放大器加分立元件来实现。
(2)实验的目的:能够产生正弦波、方波和三角波 (3)工作原理:主要是通过波形 转换形成三种波形①通过RC 振荡器(文氏电桥振荡器)产生正弦波,在实验的过程当中,可以加入负反馈稳幅支路,以此保证波形不出现明显的失真。
②正弦波通过滞回比较器产生方波;③方波通过一个积分器产生三角波。
即将滞回比较器与一个积分器首尾相接形成正反馈闭环系统,这样,经上一级输出的方波经由积分器积分可得到三角波。
(4)模拟方案实现框图正弦波 方波 三角波最终设计成的波形放大器能够对三种波形的幅值、频率进行简单的调节,并且实现相位的可调功能。
关键词:函数波形发生器;RC 桥式正弦波振荡电路;滞回比较器;积分器RC 桥式正弦波 振荡电路滞回比较器积分器Abstract(1) waveform generator: pure hardware design using operational amplifier with discrete component.(2) experimental objective: can produce sine wave, square wave and triangular wave(3) working principle: mainly through waveform transformation form three types of waveformsA through the RC oscillator wien bridge oscillator) generate sine wave, the process of the experiment, add feedback stability of branch, so that doesn't appear obvious distortion of waveform.B sine wave through a hysteresis comparator generate square wave;C square wave generated by an integrator triangle wave. The hysteresis comparator and an integrator head-tail form positive feedback closed-loop system, so that the output of square wave at the next higher level via the integrator integral triangle wave can be obtained.(4) to simulate the implementation schemeSine square wave, triangle waveFinal design into the waveform amplifier to three kinds of waveform amplitude, frequency, simple adjustment, and the implementation phase of the adjustable function.Key words: function waveform generator; RC bridge sine wave oscillator circuit;Hysteresis comparator; integrator目录第一章RC桥式正弦振荡电路 (4)1.1 RC桥式正弦振荡电路的介绍 (4)1.1.1RC桥式正弦振荡电路的应用与原理 (5)第二章滞回比较器............................................................... (6)2.1 滞回比较器 (6)第三章方波和三角波发生器 (7)3.1方波和三角波发生器 (7)3.1.1电路的实现 (8)3.1.1.1 电路软件仿真效果 (9)参考文献 (10)附录 (10)第一章RC桥式正弦振荡电路1.1 RC桥式正弦振荡电路的介绍RC桥式正弦振荡电路如图1所示。
波形发生器设计实验报告一、实验目的(1)熟悉555型集成时基电路结构、工作原理及其特点。
(2)掌握555型集成时基电路的基本应用。
(3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。
二、实验基本原理555电路的工作原理555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。
但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。
此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。
由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。
555芯片管脚介绍555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。
其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。
用555定时器组成的多谐振荡器如图所示。
接通电源后,电容C2被充电,当电容C2上端电压Vc升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T导通,此时电容C2通过R1放电,Vc下降。
当Vc下降到Vcc/3时,V0翻转为高电平。
电容器C2放电所需的时间为t,R1,C,ln2pL2 ( 1-1)当放电结束时,T截止,Vcc将通过R1,R2,R3向电容器C2充电,Vc由Vcc/3 上升到2Vcc/3所需的时间为t,(R1,R2,R3)Cln2,0.7(R1,R2,R3)CpH22 (1-2)当Vc上升到2Vcc/3时,电路又翻转为低电平。
VHDL多路波形发生器实验报告一、基本要求:1、对输入时钟信号进行分频,实现三路互差120°的信号。
2、实现输出信号的占空比控制clk: 输入时钟信号reset: 同步复位信号(低电平有效)div: 输入分频控制信号(注意:6n分频)ctrl: 占空比控制信号ctrl=1时, 占空比为1:1ctrl=2时, 占空比为1:2ctrl=3时, 占空比为2:1A,B,C: 三路输出信号二、设计思路:1.实验为6n分频,用变量s来控制,0~6n-1这六个数,当时钟信号每来一个上升沿时加1,当为6n-1时清零;2.定义N为常量,通过改变N的值改变分频;3.ctrl值不同时,占空比不同,用case语句控制,ctrl分别为01,10,11和其他;4.具体波形的实现用if语句,当占空比为1时,A输出信号在s=0和s=3*n时翻转,B输出信号在s=2*n和s=5*n时翻转,C输出信号在s=4*n和s=n的时候翻转。
当占空比为1:2时,A输出信号在s=0和s=2*n时翻转,B输出信号在s=2*n和s=4*n时翻转,C输出信号在s=4*n和s=0的时候翻转。
当占空比为2:1时,A输出信号在s=0和s=4*n时翻转,B输出信号在s=2*n和s=0时翻转,C输出信号在s=4*n和s=2*n的时候翻转;5.在占空比为1和1:2时,C输出信号应比B慢120度,但是实际输出超前B,所以要对C输出进行反相;同理,在占空比为2:1时,要对B、C分别进行反向。
6.用if语句判断是否复位,若非,则执行case语句。
三、流程图:四、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity top isport(clk,reset:in std_logic;ctrl:in std_logic_vector(1 downto 0);A,B,C:out std_logic);end top ;architecture rel of top issignal temp1,temp2,temp3,temp4,temp5 : std_logic; constant N: integer:=1;signal s:integer range 0 to 6*N-1 ;beginprocess(clk,reset,ctrl)beginif (reset='0') thentemp1<='0';temp2<='0';temp3<='0';temp4<='0';temp5<='0';s<=0;elsecase ctrl iswhen "01"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=3*N thentemp1<= not temp1;end if;if s=2*N thentemp2<= not temp2;end if;if s=5*N thentemp2<= not temp2;end if;if s=4*N thentemp4<= not temp4;end if;if s=N thentemp4<= not temp4;end if;end if;temp3<= not temp4;when "10"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=2*N thentemp1<= not temp1;end if;if s=2*N thentemp2<= not temp2;end if;if s=4*N thentemp2<= not temp2;end if;if s=4*N thentemp4<= not temp4;end if;if s=0 thentemp4<= not temp4;end if;end if;temp3<= not temp4;when "11"=>if (clk 'event and clk='1') thenif s=6*N-1 thens<=0;elses <= s+1;end if;if s=0 thentemp1<= not temp1;end if;if s=4*N thentemp1<= not temp1;end if;if s=2*N thentemp5<= not temp5;end if;if s=0 thentemp5<= not temp5;end if;if s=4*N thentemp4<= not temp4;end if;if s=2*N thentemp4<= not temp4;end if;end if;temp2<= not temp5;temp3<= not temp4;when others=>temp1<='0';temp2<='0';temp3<='0';end case;end if;end process;A<=temp1;B<=temp2;C<=temp3;end rel;五、仿真波形:整体波形:当ctrl=1 当ctrl=2 当ctrl=3复位当ctrl=其他:六、实验过程遇到的问题:在程序设计时,开始不知该怎样使A,B,C互差120度,开始是想通过定义一个变量,每来一个上升沿加1,从0开始,A路信号除3n 取余为0则翻转,B路信号除3n取余为1则翻转,C路信号除3n取余为2则翻转,我觉得这样的想法应该没有错,可是实际却调不出来,可能是某处逻辑有问题,后来就模仿老师给的6分频程序,设计了现在的程序。
波形发生器实验报告(1)波形发生器实验报告一、实验目的本实验的目的是通过使用示波器和电子电路来调制和产生不同的波形。
二、实验仪器与器材示波器、经过校准的函数发生器、万用表。
三、实验原理函数发生器是一种电子电路,可以产生不同类型的波形,例如正弦波、方波、三角波等。
为了实现这些波形,函数发生器中需要使用不同的电路元件。
例如,产生正弦波需要使用振荡电路,而产生方波需要使用比较器电路。
函数发生器的输出信号通过示波器来显示和测量。
四、实验步骤1.连接电路:将电源线连接到函数发生器和示波器上。
2.打开电源:按照设备说明书的步骤打开函数发生器和示波器的电源。
3.调节函数发生器:使用函数发生器的控制按钮来选择所需的波形类型,并调节频率和振幅。
使用示波器来观察和测量所产生的波形。
4.调节示波器:使用示波器的控制按钮来调整波形的亮度、对比度、扫描速度等参数,以达到最佳观测效果。
5.记录实验结果:记录所产生的不同波形类型、频率和振幅,并观察和记录示波器的显示结果。
五、实验结果通过本实验,我们成功地产生了正弦波、方波和三角波等不同的波形,并观察了这些波形的频率和振幅。
示波器的显示结果非常清晰,可以直观地观察到波形的特征和参数。
我们还对示波器的参数进行了调整,以获得最佳的观测效果。
六、实验结论本实验通过使用示波器和函数发生器,成功地产生了不同类型的波形,并观察了波形的特征和参数。
这些波形可以应用于各种电子电路实验中,并且需要根据具体应用要求进行调整和优化。
示波器是一种非常重要的测试仪器,可以直接观察和测量电路中的波形和信号特性,因此应用广泛。
多种波形发生器实验分析报告目录一、实验概述 (2)1. 实验目的 (2)2. 实验设备与材料 (3)3. 实验原理 (4)二、实验内容与步骤 (5)1. 波形发生器设计与搭建 (6)1.1 设计要求与方案选择 (7)1.2 波形发生器硬件搭建 (9)1.3 波形发生器软件编程 (10)2. 多种波形合成与输出 (12)2.1 合成波形的设计与实现 (12)2.2 波形输出设置与调整 (13)2.3 实时监控与数据分析 (15)3. 实验测试与结果分析 (16)3.1 测试环境搭建与准备 (17)3.2 实验数据采集与处理 (18)3.3 结果分析与讨论 (19)三、实验结果与讨论 (20)1. 实验结果展示 (21)2. 结果分析 (22)2.1 各波形参数对比分析 (23)2.2 性能评估与优化建议 (24)3. 问题与改进措施 (25)四、实验总结与展望 (26)1. 实验成果总结 (27)2. 存在问题与不足 (28)3. 后续研究方向与展望 (29)一、实验概述本次实验旨在研究和分析多种波形发生器的性能特点,包括产生信号的频率、幅度、波形稳定性等方面。
实验中采用了多种类型的波形发生器,如正弦波、方波、三角波、梯形波等,并对其输出波形进行了详细的测量和分析。
实验过程中,我们首先对各种波形发生器的基本功能进行了测试,确保其能够正常工作。
我们对不同波形发生器产生的波形进行了对比分析,重点关注了波形的频率、幅度和波形稳定性等关键指标。
我们还对波形发生器的输出信号进行了频谱分析和噪声测试,以评估其性能表现。
通过本次实验,我们获得了丰富的实验数据和经验,为进一步优化波形发生器的设计提供了有力支持。
实验结果也为我们了解各种波形发生器在实际应用中的性能表现提供了重要参考。
1. 实验目的本次实验的主要目的是深入研究和理解多种波形发生器的原理及其在实际应用中的表现。
通过搭建实验平台,我们能够模拟和观察不同波形(如正弦波、方波、三角波等)的产生与特性,进而探究其各自的优缺点以及在不同场景下的适用性。
模电实验波形发生器实验报告模电实验波形发生器实验报告实验名称:模拟电路波形发生器设计与制作实验目的:1.了解正弦波、方波、三角波等基本波形的特性及产生方法;2.掌握模拟电路的基本设计方法和制作技巧;3.加深对电路中各元件的认识和使用方法;4.提高实际操作能力和动手能力。
实验原理:波形发生器是一种模拟电路,在信号发生领域具有广泛的应用。
常见的波形发生器包括正弦波发生器、方波发生器、三角波发生器等。
正弦波发生器:正弦波发生器是一种周期性信号发生器,通过正弦波振荡电路产生高精度的正弦波信号。
常见的正弦波振荡电路有RC,LC和晶体振荡管等。
我们使用的正弦波发生器为Wien桥电路。
方波发生器:方波发生器属于非线性信号发生器,根据输入信号的不同,可以分为单稳态脉冲发生器、双稳态脉冲发生器和多谐振荡器等。
我们使用的方波发生器为双稳态脉冲发生器。
三角波发生器:三角波发生器是一种周期信号发生器,通过将一个线性变化的信号幅度反向后输入到一个比例放大电路中,就可以得到三角波信号。
我们使用的三角波发生器为斜率发生器。
实验步骤:1.按照电路原理图连接电路;2.打开电源,调节电压并测量电压值;3.调节电位器,观察波形在示波器上的变化;4.分别测量各波形的频率和幅值,并记录实验数据;5.将实验结果进行比较分析。
重点技术:1.电路连接技巧;2.相关工具的正确使用方法;3.电路元器件的选择和使用;4.测量和计算实验数据的方法。
注意事项:1.实验中使用电源时应注意电压值和电流值,避免短路和电源过载现象的发生;2.连接电路时应注意电路的接线和连接端子的位置,避免短路和错误连接的情况;3.在实验中应注意对电路元器件的选择和使用,确保电路的正常工作;4.测量和计算实验数据时应认真仔细,避免计算错误和实验数据异常的情况。
实验结论:通过本次实验,我们成功设计和制作了正弦波发生器、方波发生器和三角波发生器。
在实验过程中,我们掌握了模拟电路的基本设计方法和制作技巧,加深了对电路中各元件的认识和使用方法,并提高了实际操作能力和动手能力。
波形发生器实验报告模电波形发生器实验报告精品文档,仅供参考波形发生器实验报告模电波形发生器实验报告实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。
应用写作给出的定义如下科技实验报告是描述、记录某个科研课题过程和结果的一种科技应用文体。
下面是本站为大家带来的[波形发生器实验报告],希望能帮助到大家!波形发生器实验报告第一部分设计内容一、任务利用运算放大器设计并制作一台信号发生器,能产生正弦波、方波、三角波、锯齿波等信号,其系统框图如图所示。
二、要求1不使用单片机,实现以下功能:(1)至少能产生正弦波、方波、三角波、锯齿波四种周期性波形;在示波器上可以清晰地看清楚每种波形。
20分(2)输出信号的频率可通过按钮调节;(范围越大越好)20分(3)输出信号的幅度可通过按钮调节;(范围越大越好)20分(4)输出信号波形无明显失真;10分(5)稳压电源自制。
10分(6)其他2种扩展功能。
20分信号发生器系统框图第二部分方案比较与论证方案一、以555芯片为核心,分别产生方波,三角波,锯齿波,正弦波电路配置如图1所示图1此方案较简单,但是产生的频率不够大最后输出正弦波时,信号受干扰大。
方案二由简单的分立元件产生,可以利用晶体管、LC振荡回路,积分电路的实现方波三角波,正弦波的产生。
此方案原理简单但是调试复杂,受干扰也严重。
方案三、采用集成运放如(LM324)搭建RC文氏正弦振荡器产生正弦波,正弦波的频率,幅度均可调,再将产生的正弦波经过过零比较器,实现方波的输出,再由方波到三角波和锯齿波。
此方案电路简单,在集成运放的作用下,可以较容易的测到所需的波形。
通过调整参数可以得到较完美的波形。
实际设计过程采用方案三,基本原理如图2所示基本设计原理框图(图2)第三部分:电路原理及电路设计电路的构成:1、正弦波采用RC桥式振荡器(如图3), RC 串并联网络是正反馈网络,Rf 和R1为负反馈网络。
波形发生器实验报告波形发生器实验报告引言波形发生器是电子实验室中常见的仪器之一,它能够产生不同形状和频率的电信号。
本实验旨在通过搭建和调试波形发生器电路,了解波形发生器的工作原理和应用。
实验目的1. 掌握波形发生器的基本原理和电路结构;2. 学会使用电子元器件和仪器搭建波形发生器电路;3. 调试波形发生器电路,产生不同形状和频率的波形信号。
实验器材与元器件1. 函数发生器2. 示波器3. 电阻、电容、电感等元器件4. 电源5. 连接线实验步骤1. 搭建基本的RC波形发生器电路。
将电阻和电容按照一定的连接方式搭建成RC电路,连接至电源和示波器。
2. 调节电源和示波器的参数。
根据实验要求,设置电源的电压和示波器的时间和电压刻度。
3. 调试波形发生器电路。
通过改变电阻和电容的数值,观察波形发生器输出的波形变化。
记录不同参数下的波形特点。
4. 搭建其他类型的波形发生器电路。
根据实验要求,搭建其他类型的波形发生器电路,如正弦波发生器、方波发生器等。
5. 调试其他类型的波形发生器电路。
通过改变电阻、电容或其他元器件的数值,观察不同类型波形发生器输出的波形特点。
实验结果与分析在实验过程中,我们成功搭建了基本的RC波形发生器电路,并调试出了不同频率和形状的波形信号。
通过改变电阻和电容的数值,我们观察到波形的周期和振幅发生了变化。
当电阻和电容的数值较小时,波形的频率较高;而当电阻和电容的数值较大时,波形的频率较低。
此外,我们还搭建了正弦波发生器和方波发生器电路,并成功调试出了相应的波形信号。
实验总结通过本次实验,我们深入了解了波形发生器的工作原理和应用。
波形发生器作为一种常见的仪器,广泛应用于电子实验、通信、音频等领域。
通过调节电路中的元器件数值,我们可以产生不同形状和频率的波形信号,满足不同实验和应用的需求。
然而,本实验中我们只涉及了基本的RC波形发生器电路和部分常见的波形类型。
在实际应用中,波形发生器还有更多的类型和功能,如脉冲波形发生器、锯齿波形发生器等。
波形发生器专业课程设计实验报告方法1:选通输入/输出方法。
这时A口或B口8位外设线用作输入或输出,C口4条线中三条用作数据传输联络信号和中止请求信号。
方法2:双向总线方法。
只有A口含有双向总线方法,8位外设线用作输入或输出,此时C口5条线用作通讯联络信号和中止请求信号。
原理框图:硬件设计2.2 数模转换电路因为单片机产生是数字信号,要想得到所需要波形,就要把数字信号转换成模拟信号,所以该文选择价格低廉、接口简单、转换控制轻易并含有8位分辨率数模转换器DAC0832。
DAC0832关键由8位输入寄存器、8位DAC寄存器、8位D/A转换器和输入控制电路四部分组成。
但实际上,DAC0832输出电量也不是真正能连续可调,而是以其绝对分辨率为单位增减,是准模拟量输出。
DAC0832是电流型输出,在应用时外接运放使之成为电压型输出。
1、DAC0832引脚及功效:DAC0832是8分辨率D/A转换集成芯片。
和微处理器兼容。
这个DA芯片以其价格低廉、接口简单、转换控制轻易等优点,在单片机应用系统中得到广泛应用。
D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路组成。
各引脚功效说明:D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(不然锁存器数据会犯错);ILE:数据锁存许可控制信号输入线,高电平有效;CS:片选信号输入线(选通数据锁存器),低电平有效;WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。
由ILE、CS、WR1逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1负跳变时将输入数据锁存;_FER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效;WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。
由WR2、_FER逻辑组合产生LE2,当LE2为高电平时,DAC寄存器输出随寄存器输入而改变,LE2负跳变时将数据锁存器内容打入DAC寄存器并开始D/A转换。
一、实验目的(1)熟悉555型集成时基电路结构、工作原理及其特点。
(2)掌握555型集成时基电路的基本应用。
(3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。
二、实验基本原理555电路的工作原理555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。
但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。
此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。
由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。
555芯片管脚介绍555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。
其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。
用555定时器组成的多谐振荡器如图所示。
接通电源后,电容C2被充电,当电容C2上端电压Vc 升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T 导通,此时电容C2通过R1放电,Vc 下降。
当Vc 下降到Vcc/3时,V0翻转为高电平。
电容器C2放电所需的时间为2ln 12∙∙=C R t pL ( 1-1) 当放电结束时,T 截止,Vcc 将通过R1,R2,R3向电容器C2充电,Vc 由Vcc/3 上升到2Vcc/3所需的时间为22)321(7.02ln )321(C R R R C R R R t pH ++=++= (1-2)当Vc 上升到2Vcc/3时,电路又翻转为低电平。
如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。
电路的工作波形如图4,其中的震荡频率为 :f=1/(tpL+tpH )=1.43/(2R1+R2+R3) C2 (1-3)三、实验设计目标波形发生器是建立在模拟电子技术基础上的一个设计性实验,它是借助综合测试板上的555芯片和一片通用四运放324芯片,以及各种电阻、电感、电容等基本元器件,从而设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路,其借助于计算机软件multisim 仿真以及电路板硬件调试输出来实现的。
具体设计目标如下:1、同时四通道输出、每通道输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为600欧姆。
2、四种波形的频率关系为1:1:1:3(3次谐波);脉冲波、锯齿波、正弦波Ⅰ输出频率范围为8KHz——10KHz,输出电压幅度峰峰值为1V;正弦波Ⅱ输出频率范围为24KHz——30KHz,输出电压幅度峰峰值为9V。
脉冲波、锯齿波和正弦波输出波形应无明显失真,频率误差不大于10%;通带内输出电压幅度峰峰值误差不大于5%。
脉冲波占空比可调整。
电源只能选用+10V单电源,由稳压电源供给,不得使用额外电源。
4、要求预留脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ和电源的测试端子。
5、每通道输出的负载电阻600欧姆应标清楚、至于明显位置,便于检查。
四.电路分析内容和方案比较方案一:首先我们想到的设计方案的思路是首先由555定时器组成的多谐振荡器产生方波,即利用555与外围元件构成多谐振荡器,来产生方波。
其电路模块如图1所示,然后由积分电路将方波先转化为三角波,RC积分电路是一种应用比较广泛的模拟信号运算电路。
其常用积分电路作为调节环节。
此外,RC积分电路还可以用于延时、定时以及各种波形的产生或变换。
图1由555定时器组成的多谐振荡器输出的方波输出后经RC积分电路,从而输出三角波。
其基本原理是电容的充放电原理,采用RC低通滤波的方法将三角波变换为正弦波。
得到三角波以后,最后用低通滤波器将方波转化为正弦波,经过放大器后即可得到我们想要幅值的波形,从而得到方波和正弦波,得到方波和正弦波的完整电路见图2所示。
图2得到两个波形输出后,再从方波输出端连出一根线作为输入,接到图3所示的电路模块的输入端,利用二极管D1连接有电阻R1时的较慢的充电和D2的快速放电来实现锯齿波输出的实现。
图3得到锯齿波后,再利用如下图4的电路模块,即利用RC积分电路和LC滤波电路的组合来实现三次谐波的输出实现。
图4刚开始设计之前之所以采用此方案,是因为该方案调试容易,且本方案的电路结构、思路简单,运行时性能稳定,且成本低廉、调整方便,关于输出正弦波波形的变形,可以通过可变电阻的调节来调整。
但当我们把所有电路模块连接到一起时,由于我们设计的各模块间并没有什么中间隔离回路模块,即方波和正弦波,还有锯齿波和三次谐波各个模块是前后级相互有影响的,此时由于我们在输出锯齿波和三次谐波时还要先用方波输出端作为我们的输入,从而导致各级电路之间的相互影响,从而使三次谐波出现衰减振荡等比较严重的失真的现象,为了解决这个问题,我们也采用了射级跟随器等电路模块来试着解决该问题,但这些方法都不是能很好的处理波形失真状况,而且其他的波形质量也不太理想,从而为了更好的使我们的波形输出符合要求,我们采用了方案2来能较好的符合设计要求。
由于方案一无法满足设计的所有要求,所以我们采用方案二,即方案二就是我们真正电路完全符合电路设计要求的,方案二的具体设计方法,原理,内容见如下:方案二:电路设计:方波发生电路部分:由于方案一种的方波输出比较理想,所以我们在改进的方案中,方波电路的设计思路基本不变,仍然是由555定时器组成的多谐振荡器产生方波,即利用555与外围元件构成多谐振荡器,来产生方波。
其电路模块如图5所示,其基本原理与方案一中相同,在我们设计模块中555定时器通过RA 、RB 、C2设定输出信号的频率和脉宽。
(RA 为RST 和DIS 之间的电阻值,RB 为DIS 和THR 之间的电阻值,C2为THR 到地之间的电容值),其中的参数为高低电平的时间分别为 0.693(RA+RB )C 和 0.693(RB)C ,脉冲周期为0.693(RA+2RB)C ,频率为1.44/((RA+2RB)C)。
并且此时我们我们通过加入滑动变阻器来通过改变两个滑动变阻器的阻值来改变波形的频率和方波的占空比,在方波输出中,其要求为输出波形的幅值为1V ,并且负载为600欧姆,由于在我们所连接方波模块输出端方波电压值为10V ,所以在图5所示模块555的输出端OUT 连接电阻5400欧姆来进行分压,即让负载输出方波波形电压值正好为10V 的十分之一,也就是1V ,从而让达到其设计要求,即:)10(5400600600V V V V out out =+=图5实验中我们得到的方波的波形图如下图所示:实验方波波形图6锯齿波发生电路部分:如图所示的积分电路正向积分的时间常数远大于反向积分的时间常数,或者反向积分的时间常数远大于正向积分的时间常数,那么输出电压uo上升和下降的斜率很多,就可以获得锯齿波。
利用二极管的单向导电性使积分电路两个方向的积分通路不同,就可以获得锯齿波发生电路,如下图所示,图中R3的阻值远小于Rw锯齿波发生电路及其波形原理图7 根据三角波发生电路振荡周期的计算方法,可得出锯齿波下降时间和上升时间分别为: T 1=t 1-t 0≈2∙RR 21∙C T 2=t 2-t 1≈2∙R R 21∙(R 3+R W )C 振荡周期:T =C R R R R W ∙+231)2(2因为R 3的阻值远小于R W ,所以可认为T ≈T2根据T1和T 的表达式,可得到U O 1的占空比为R R R T WT +=3312 调整R1和R2的阻值可以改变锯齿波的幅值;调整R1,R2和R W 的阻值以及C 的容量,可以改变振荡周期;调整电位器滑动端的位置,可以改变U O 1的占空比,以及锯齿波上升和下降的斜率产生方波后,我们也是利用同方案一一样的方法来用方波输出作为输入,在原理图的基础上加以适当的改进,利用如图6所示模块来产生锯齿波,该模块的原理为利用二极管的单向导电性,我们将通过导线分成两个支路将连接运放的输入端,每个支路连接一个二极管1N4148,使得积分电路的充放电回路分开,从而对于D1二极管所在支路来说,由于该支路D1连接有电阻,从而在充电过程,由于电阻的作用,从而输入端通过运放后的输出电压是一个稳步上升的阶段,从而该阶段产生的波形是一段具有一定斜率的倾斜直线,当电压幅值达到峰值后,由于存在另一条支路连接有D2二极管,该支路由于只存在二极管D2,所以在放电阶段是一个非常快速下降的过程,从而产生的波形接近一条竖直的线,从而通过该电路模块即可以将方波波形转化成锯齿波波形输出了,由于我们为了减小前后级的影响,所以在D1与D2并联的前端又加了一个阻值为4.7k 的电阻,从而可以减少锯齿波的失真,而且我们通过该种方式电路连接可以使输出的锯齿波的上升斜率与下降差别较大,这样就可以使输出的锯齿波更完美,即我们可以通过12K 电阻与4.7k 电阻的比值来做到使输出锯齿波的上升斜率与下降差别较大,其下降斜率K 下降与上升斜率K 上升的比值为:55.37.47.412K =+=KK K K 上升下降,从公式中可以求得下降斜率与上升斜率差别为3.55 ,利用原理图中的公式可以求得上升时间约为4us ,下降时间约为1us,可以看出通过这样的连接方式即可以使锯齿波形状的波形输出。
实验实际的锯齿波电路图8实验锯齿波波形图9正弦波发生电路部分:本实验采用的是RC二阶低通滤波电路,RC并联选频网络是其中的重要的组成部分。
如下图所示的RC滤波电路中,它即可以通过直流信号,又可以通过交流信号它和RC串联电路有着同样的转折频率fo=1/2πR1C1,当输入信号频率小于f0时,信号相对电路为直流,电路的总阻抗为R1;当输入信号的频率大于f0时,c1的容抗相对很小,总阻抗为电阻阻值并上电容容抗,当频率高到一定程度时总的阻抗为零。
滤波原理图10如下图所示的电路图中,其中LM324运放的作用是作为电压比较器来使用的,使得输出的信号更加接近于占空比为50%的脉冲波,其工作原理为:因为接入该LM324运放负极输入端的波形是一个幅值为10V的接近锯齿波形状的波形,因为锯齿波在上升阶段是成一条斜线,而我们从LM324运放正极输入端输入的是一个幅值为5V的直流电平,所以对于从负极输入的10V锯齿波来说,在它上升阶段的前半段即上升阶段二分之一处之前的电压幅值是低于5V的,此时正好正极电压大于负极电压,从而通过LM324运放后即可将波形电压输出,输出的波形即是脉冲波的高电平,而在锯齿波上升的后半段,即后二分之一部分锯齿波幅值大于5V,所以此时正极电压小于负极电压,从而反映在输出波形即是低电平,因为输出的高低电平各为二分之一,所以通过这个比较器后的波形即是占空比接近50%的脉冲波,而且通过我们实际观察输出波形其也是接近占空比为50%的脉冲波,只不过其由于一定失真原因不是特别完美的脉冲波,因为我们在比较器输出后市接近占空比为50%的脉冲波,所以这样通过后面的RC滤波器后即可以得到想要的含有基波成分的正弦波。