多功能数字钟数字逻辑系统设计
- 格式:doc
- 大小:939.00 KB
- 文档页数:15
多功能数字钟-电子设计
第一步实现多功能数字钟的基本功能,包括显示当前时间和设置定时
功能。
为了实现这一功能,我们需要使用一个定时器,以实现每秒钟更新
一次时间并显示在LCD屏上,同时实现定时功能。
第二步用一个按钮来切换显示当前时间和定时时间。
为了实现这一功能,我们需要在LCD屏上显示当前时间和定时时间,当按钮按下时,可以
改变当前时间和定时时间的显示。
第三步加入计时功能,使用者可以设置一个计时时间,当计时结束时,会有一个提醒和发出报警声。
为了实现这一功能,我们需要使用一个计数器,计算出时间差,当到达设定的计时时间时,发出报警声或者显示一个
提醒。
第四步增加闹钟功能,使用者可以设置一个闹钟时间,当达到闹钟时
间时,会有一个提醒和发出报警声。
为了实现这一功能,我们需要在指定
的时间段内,获取当前时间,通过一个实时检查程序,来实现闹钟功能,
当到达时间时,发出报警声或者显示一个提醒。
第五步加入天气预报功能,使用者可以查询当前城市的天气情况,以
及未来三天的天气预报。
为了实现这一功能,我们需要使用一个API来获
取天气情况,并将获取的信息在LCD屏上显示出来,方便使用者查询。
多功能数字钟电路设计1设计内容简介数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。
脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。
2设计任务与要求Ⅰ以十进制数字形式显示时、分、秒的时间。
Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。
Ⅲ能实现手动快速校时、校分;Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。
Ⅴ具有定制控制(定小时)的闹钟功能。
Ⅵ画出完整的电路原理图3主要集成电路器件计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等4设计方案数字电子钟的原理方框图如图(1)所示。
该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。
秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。
将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。
校时电路是用“时”、“分”、“秒”显示数5电路设计5.1秒信号发生器秒信号发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体整荡器产生的脉冲经过整形、分频获得1 Hz的秒脉冲。
目录第一部分摘要--------------------------------------------------------------------------------------2第二部分1、设计任务------------------------------------------------------------------------31.1基本要求------------------------------------------------------------------------32、方案论证与比较--------------------------------------------------------------------32.1显示模块------------------------------------------------------------------------32.2时钟模块------------------------------------------------------------------------32.3温度采集------------------------------------------------------------------------33、总体方案---------------------------------------------------------------------------- 43.1工作原理------------------------------------------------------------------------43.2总体设计------------------------------------------------------------------------44、系统硬件设计-----------------------------------------------------------------------44.1 STC89C52RC单片机最小系统---------------------------------------------44.2时钟模块------------------------------------------------------------------------54.3 存储器模块--------------------------------------------------------------------64.4 LCD显示模块-----------------------------------------------------------------64.5按键电路------------------------------------------------------------------------74.6温度采集------------------------------------------------------------------------74.7整体电路------------------------------------------------------------------------85、系统软件设计-----------------------------------------------------------------------95.1主程序流程图--------------------------------------------95.2时间设定程序流程图--------------------------------------105.3温度测量流程图------------------------------------------105.4闹铃设定流程图------------------------------------------116、测试与结果分析6.1基本部分测试与分析---------------------------------------------------------116.2预期达到的性能指标---------------------------------------------------------117、设计总结-----------------------------------------------------------------------------128、参考资料-----------------------------------------------------------------------------12附录---------------------------------------------------------------------------------------13 附一电路板PCB图------------------------------------------------------------------13 附二多功能数字时钟使用说明------------------------------------------------------13摘要本设计采用LCD液晶屏显示系统,以STC89C51单片机为核心,由键盘、温度采集、定时闹钟等功能模块组成。
数字逻辑电路课程设计报告多功能数组钟设计一、设计要求:通过Maxplus II使用VHDL语言编写设计一款多功能数字钟,具体功能如下:1、时钟时,分,秒分别显示且能正确计数。
2、整点报时,时钟在将要到达整点的最后十秒,给予蜂鸣提示。
3、校时,可以通过相应开关按钮对时钟的时分秒进行调整。
4、闹钟,用户可以预设闹铃时刻,当时间到达该时刻时,发出蜂鸣提示。
二、总体设计:1、设计框图:2、外部输入输出要求:外部输入要求:输入信号有1024Hz时钟信号、低电平有效的秒清零信号CLR、低电平有效的调分信号SETmin、低电平有效的调时信号SEThour;外部输出要求:整点报时信号SOUND(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、时十位显示信号h1(a,b,c,d,e,f,g)、时个位显示信号h0(a ,b,c,d,e,f,g)、分十位显示信号m1及分个位m0、秒十位s1及秒个位s0;数码管显示位选信号SEL0/1/2等三个信号。
3、各模块功能:1)FREQ分频模块:整点报时用的1024Hz与512Hz的脉冲信号,这里的输入信号是1024Hz信号,所以只要一个二分频即可;时间基准采用1Hz输入信号直接提供(当然也可以分频取得,这里先用的是分频取得的信号,后考虑到精度问题而采用硬件频率信号。
2)秒计数模块SECOND:60进制,带有进位和清零功能的,输入为1Hz脉冲和低电平有效的清零信号CLR,输出秒个位、时位及进位信号CO。
3)分计数模块MINUTE60进制,带有进位和置数功能的,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位及进位信号CO。
4)时计数模块HOUR:24进制,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位。
5)扫描模块SELTIME:输入为秒(含个/十位)、分、时、扫描时钟CLK1K,输出为D和显示控制信号SEL。
6)整点报时功能模块ALERT:输入为分/秒信号,输出为高频声控Q1K和Q500。
多功能数字闹钟电路设计实验报告
实验目的:设计一个多功能数字闹钟电路,能够显示时间、设定并响起闹铃。
实验原理:本实验采用数字集成电路实现数字显示和闹铃功能。
数字显示部分采用BCD到七段数码管解码器74LS47和共阴
七段数码管进行实现,闹铃部分采用555定时器集成电路作为发生器,通过驱动蜂鸣器发出声音。
实验仪器:多功能数字闹钟电路实验箱、数字集成电路
74LS47、七段数码管、555定时器集成电路、蜂鸣器、电源、
示波器等。
实验步骤:
1. 按照电路图连接电路。
将74LS47连接到七段数码管,将
555定时器连接到蜂鸣器和电路中相应的电源和地线。
2. 上电并调节电路供电电压。
3. 设定时间。
通过拨动开关和按钮进行时间的设定。
4. 切换闹钟状态。
通过开关切换闹钟的开启和关闭状态。
5. 监测闹钟时间。
借助示波器调整闹钟时间的精度。
6. 监测闹钟声音。
确认蜂鸣器发出的声音符合要求。
实验结果:实验中,我们成功设计并调试出了一个多功能数字闹钟电路。
通过拨动开关和按钮可以设定时间,并且可以通过切换开关来设置闹钟的开启和关闭状态。
实验中监测到的闹钟时间和声音都符合预期要求。
结论:通过本次实验,我们成功设计了一个多功能数字闹钟电路,实现了时间显示和闹铃功能。
实验结果显示该电路的性能良好,具有实用价值。
在实验中我们也学到了关于数字集成电路和定时器集成电路的使用和调试方法。
数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。
2.进一步提高maxplusii软件开发应用领域能力。
3.培育学生综合实验能力。
二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。
1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。
2.利用按键实现“校时”、“校分”和“秒清单”功能。
1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。
2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
3)按下sc,秒清零。
建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。
)3.能够利用实验板上的扬声器并作整点报时功能。
1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。
2)抵达59分后60秒时为最后一声整点报时。
整点报时的频率为1kz。
4.能够惹出时1)闹时的最小时间间隙为10分钟。
2)惹出时长度为1分钟。
3)惹出时声响就是单频的。
5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。
1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。
2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。
3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本构成,掌握时、分、秒的概念及其相互关系。
2. 学生能运用所学知识,分析多功能数字时钟的显示原理和编程逻辑。
3. 学生掌握基本的数字逻辑运算,并能将其应用于时钟设计中。
技能目标:1. 学生能通过实际操作,学会使用编程软件进行数字时钟的设计与编程。
2. 学生能够运用问题解决策略,调试并优化数字时钟程序,提高程序运行效率。
3. 学生能够运用所学知识,创作具有个性化功能的数字时钟,培养创新意识和实践能力。
情感态度价值观目标:1. 学生在学习过程中,培养对信息技术学科的兴趣,激发学习热情。
2. 学生通过团队协作,培养沟通、交流和合作的能力,增强团队意识。
3. 学生通过解决实际问题,体会科技改变生活的魅力,增强社会责任感和使命感。
课程性质:本课程为信息技术学科,结合学生年级特点,注重理论与实践相结合,培养学生的动手操作能力和创新思维。
学生特点:学生具备一定的信息技术基础,好奇心强,喜欢动手操作,但逻辑思维和问题解决能力有待提高。
教学要求:教师应关注学生的个体差异,提供有针对性的指导,引导学生通过自主学习、合作探究和实践活动,达到课程目标,提高学生的信息技术素养。
二、教学内容1. 数字时钟基础知识:时钟的演变、数字时钟的构成、时、分、秒的概念及其进制关系。
教材章节:第一章 认识数字时钟2. 数字时钟显示原理:LED显示技术、点阵显示原理、数字时钟显示编程。
教材章节:第二章 数字时钟显示技术3. 数字时钟编程基础:基本逻辑运算、程序流程控制、函数的运用。
教材章节:第三章 数字时钟编程基础4. 多功能数字时钟设计与实现:设计思路、编程实践、调试与优化。
教材章节:第四章 多功能数字时钟设计与实现5. 创新实践:个性化数字时钟设计、功能拓展、作品展示。
教材章节:第五章 创新实践与作品展示教学进度安排:1. 数字时钟基础知识(1课时)2. 数字时钟显示原理(2课时)3. 数字时钟编程基础(3课时)4. 多功能数字时钟设计与实现(4课时)5. 创新实践(2课时)教学内容科学系统,注重理论与实践相结合,引导学生通过自主学习、合作探究和实践操作,掌握数字时钟的设计与编程,培养学生的创新能力和信息技术素养。
多功能数字钟-电子设计设计一个多功能数字钟,可以显示时间、日期、温度,并能设置闹钟和倒计时功能。
电子设计:1.采用LED数码管显示时间、日期、温度,可以选择7段数码管或者共阳共阴的数码管。
2.采用实时时钟芯片,能够准确读取时间和日期。
3.通过温度传感器读取室内温度,并在数码管上显示。
4.设计按钮控制系统,包括设置时间、日期、闹钟和倒计时功能。
5.设置闹钟功能,可以设定每天重复或只响一次,并能够自定义铃声。
6.设置倒计时功能,可以设定倒计时时间,并能够显示剩余时间。
7.设计电源接口,可以使用电池或外部电源供电。
硬件设计:1.使用微控制器作为控制中心,控制LED数码管的显示和按钮的输入。
2.将实时时钟芯片连接到微控制器,通过I2C或SPI协议读取时间和日期。
3.连接温度传感器与微控制器,通过模拟输入端口读取温度值。
4.设计按钮接口,将按钮连接到微控制器的GPIO引脚,用于接收用户的操作。
5.设计蜂鸣器接口,通过微控制器生成不同频率的信号,作为闹钟铃声。
软件设计:1.编写微控制器的固件程序,实现读取时间、日期、温度的功能。
2.实现LED数码管的驱动程序,将时间、日期、温度的数值转换成相应的LED显示。
3.编写按钮的中断服务程序,实现按钮的响应和功能切换。
4.设计闹钟功能的逻辑,根据用户的设定时间和铃声选择,启动闹钟。
5.设计倒计时功能的逻辑,根据用户设定的倒计时时间,显示剩余时间并发出提醒。
6.设计设置功能的菜单,通过按钮操作进入不同的设置界面。
7.实现电源管理功能,可以根据用户选择使用电池或外部电源供电。
8.调试和测试系统的各项功能,确保稳定性和准确性。
一、设计要求1.具有以二十四小时制计时、显示、整点报时、时间设置公能。
2.精度要求为1s。
二、系统功能简介1.计时:正常工作状态下每天按24小时制计时并显示,蜂鸣器无声,逢整点报时。
2.整点报时:蜂鸣器在59分钟的51、53、55、57、59秒时发出频率为512hz的低音,在59秒时发出1024hz的高音,结束时为整点。
3.显示:要求采用扫描显示方式驱动8个LED数码管显示小时、分、秒、横线。
4.调时和校时:当开关处于“k1”、“k2”处于“1”时正常计时,当k1处于“0”位置时可以对小时校时,当k2处于“0”位置时可以对分钟进行校时。
另外对六十进制计数器加了一个清零端,可以进行秒的复位。
三、系统简介1.开发系统:windows xp/982.开发软件:MAX+PIUS II3.开发芯片:EP1K10TC100—3四、主要模块简介此系统由计时调时模块、闹钟模块、定时模块、动显模块和分频模块组成。
数字钟系统总体结构框图:1 分频器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport (clk :in std_logic;f1024,f512,f4,f1 :out std_logic);end fenpin ;architecture behav of fenpin issignal q:std_logic_vector(9 downto 0);beginprocess(clk,q)beginif(clk'event and clk='1')thenif(q="1111111111")thenq<="0000000000";elseq<=q+1;报时 控制电路 控 计时校时 控制电路 显示 控制电路 整点报时 电路扫描显示 电路end if ;end if ;f1024<=clk;f512<=q(0);f4<=q(7);f1<=q(9);end process;end behav;2 二选一数据选择器library ieee;use ieee.std_logic_1164.all;entity mux2_1 isport(d0,d1,sel:in std_logic;q :out std_logic);end mux2_1;architecture amux of mux2_1 issignal temp1,temp2,temp3 :std_logic; begincale:blockbegintemp1<=d0 and sel;--1正常计时temp2<=d1 and (not sel);--0调时temp3<=temp1 or temp2;q<=temp3;end block cale;end amux;324进制计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mo24 isport(clk:in std_logic;qh,ql:out std_logic_vector(3 downto 0));end mo24;architecture wc of mo24 issignal qhh,qll:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1') thenif(qll="0010" and qhh="0011")thenqhh<="0000";qll<="0000";elsif(qhh="1001")thenqll<=qll+1;qhh<="0000";else qhh<=qhh+1;end if;end if;qh<=qhh;ql<=qll;end process;end wc;460进制计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m60 isport(clk,clr:in std_logic;qh,ql:out std_logic_vector(3 downto 0);co:out std_logic);end m60;architecture wc of m60 issignal qhh,qll:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clr='0') thenqll<="0000";qhh<="0000";elsif(clk'event and clk='1') thenif(qll="0101" and qhh="1001")thenqhh<="0000";qll<="0000";co<='1';elsif(qhh="1001")thenqll<=qll+1;co<='0';qhh<="0000";else qhh<=qhh+1;co<='0';end if;end if;qh<=qhh;ql<=qll;end process;end wc;58进制器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mo8 isport(clk:in std_logic;qh:out std_logic_vector(2 downto 0));end mo8;architecture wc of mo8 issignal qhh:std_logic_vector(2 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif( qhh="111")thenqhh<="000";elseqhh<=qhh+'1';end if;end if;qh<=qhh;end process;end wc;68选1数据选择器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux81 isport(a0,a1,a2,a3,a4,a5,a6,a7:in std_logic_vector(3 downto 0);b:in std_logic_vector(2 downto 0);q:out std_logic_vector(3 downto 0));end mux81;architecture wc of mux81 issignal qq:std_logic_vector(3 downto 0); beginprocess(b)begincase b iswhen "000"=>qq<=a0;when "001"=>qq<=a1;when "010"=>qq<=a2;when "011"=>qq<=a3;when "100"=>qq<=a4;when "101"=>qq<=a5;when "110"=>qq<=a6;when "111"=>qq<=a7;when others=>qq<="0000";end case;q<=qq;end process;end wc;77段译码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yima7 isport(d:in std_logic_vector(3 downto 0);y:out std_logic_vector(6 downto 0)); end yima7;architecture wc of yima7 isbeginprocess(d)begincase d iswhen"0000"=>y<="1111110";when"0001"=>y<="0110000";when"0010"=>y<="1101101";when"0011"=>y<="1111001";when"0100"=>y<="0110011";when"0101"=>y<="1011011";when"0110"=>y<="1011111";when"0111"=>y<="1110000";when"1000"=>y<="1111111";when"1001"=>y<="1111011";when others=>y<="0000001";end case;end process;end wc;8报时器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity baoshi isport (m1,m0,s1,s0: in std_logic_vector(3 downto 0); clk:in std_logic;q: out std_logic);end baoshi;architecture rt of baoshi isbeginprocess(m0,m1,s1,s0)beginif rising_edge(clk) thenif m1="0101"and m0="1001" and s1="0101" thenif s0="0001"or s0="0011"or s0="0101" or s0="0111"or s0="1001" thenq<='1';else q<='0';end if;else q<='0';end if;end if;end process;9 顶层模块组合:五相关图形(一) 1.控制模块仿真图2.计时控制模块仿真图4.显示驱动模块仿真图:5.动态显示仿真图:6.闹铃模块仿真图:五、设计感言。
多功能数字钟的电路设计
1.时钟计数器:使用数字逻辑门和触发器组成的计数器电路,用于实
现时钟的计数功能。
计数器需要能够准确地计时,并能够在到达一定计数
值时进行复位操作。
2.时钟显示器:使用数码管显示器来显示当前的时、分、秒。
每个数
码管都需要能够接收计数器输出的数值,并将其转换成对应的数字显示。
3.按键输入:多功能数字钟通常会包括一些功能设置,例如闹钟、日期、温度等。
因此需要设计一个按键输入电路,用于接收用户的按键输入,并实现对应的功能操作。
4.闹钟功能:在设计中可以添加一个闹钟电路,用于在特定时间发出
警报。
这个电路可以通过比较计数器的当前值和闹钟设定的时间值来判断
何时触发警报。
5.温度传感器:如果需要实现温度显示的功能,可以添加一个温度传
感器,将温度值转换成数字信号,并通过数码管显示出来。
6.日期功能:类似于时钟显示器,设计一个可以显示日期的电路。
可
以通过按键输入来设置日期,并将其显示在数码管上。
7.电源电路:为了供电整个电路,需要设计一个合适的电源电路,可
以通过插座或电池为电路提供稳定的电源。
在电路设计过程中,需要注意的是不同功能模块之间的连接与通讯方式,以及合理的信号处理和控制逻辑。
同时,还要考虑电路的稳定性、抗
干扰能力和功耗等方面的设计要求。
课题一数字电子钟逻辑电路设计一、简述数字电子钟就是一种用数字显示秒、分、时、日得计时装置,与传统得机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛得应用。
小到人们日常生活中得电子手表,大到车站、码头、机场等公共场所得大型数显电子钟。
数字电子钟得电路组成方框图如图1、1所示.图1、1数字电子钟框图由图1、1可见,数字电子钟由以下几部分组成:石英晶体振荡器与分频器组成得秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时得译码显示部分等。
二、设计任务与要求用中、小规模集成电路设计一台能显示日、时、分、秒得数字电子钟,要求如下:1.由晶振电路产生1Hz标准秒信号。
2.秒、分为00~59六十进制计数器。
3、时为00~23二十四进制计数器。
4、周显示从1~日为七进制计数器。
5、可手动校时:能分别进行秒、分、时、日得校时。
只要将开关置于手动位置,可分别对秒、分、时、日进行手动脉冲输入调整或连续脉冲输入得校正.6、 整点报时。
整点报时电路要求在每个整点前呜叫五次低音(500Hz ),整点时再呜叫一次高音(1000Hz)。
三、可选用器材1、 通用实验底板2、 直流稳压电源3、 集成电路:C D4060、74LS74、74LS 161、74L S248及门电路4、 晶振:32768 Hz5、 电容:100μF/16V 、22pF 、3~22pF 之间6、 电阻:200Ω、10K Ω、22MΩ7、 电位器:2、2K Ω或4、7K Ω8、 数显:共阴显示器L C5011—119、 开关:单次按键 10、 三极管:8050 11、 喇叭:1 W /4,8Ω四、设计方案提示根据设计任务与要求,对照数字电子钟得框图,可以分以下几部分进行模块化设计。
1. 秒脉冲发生器脉冲发生器就是数字钟得核心部分,它得精度与稳定度决定了数字钟得质量,通常用晶体振荡器发出得脉冲经过整形、分频获得1Hz 得秒脉冲.如晶振为32768 Hz ,通过15次二分频后可获得1H z得脉冲输出,电路图如图1、2所示。
数电课程设计多功能数字钟一、课程目标知识目标:1. 让学生理解数字电路基础知识,掌握组合逻辑电路和时序逻辑电路的设计原理;2. 使学生掌握数字钟的组成、工作原理及功能,能运用所学知识设计多功能数字钟;3. 帮助学生掌握数字电路的测试方法,学会分析并解决数字电路故障。
技能目标:1. 培养学生运用所学知识,结合实际需求,设计具有一定功能的数字电路的能力;2. 培养学生动手操作、调试和优化数字电路的技能;3. 培养学生运用EDA工具(如Multisim、Protel等)进行电路设计、仿真和测试的能力。
情感态度价值观目标:1. 培养学生对数字电路和电子技术的兴趣,激发学生探索科学技术的热情;2. 培养学生严谨、务实的学习态度,养成团队合作、互相学习的良好习惯;3. 培养学生关注社会发展,认识到电子技术在日常生活和国家建设中的重要作用。
课程性质分析:本课程为电子技术专业课程,旨在让学生掌握数字电路的基本原理和设计方法,通过设计多功能数字钟,提高学生的实践能力和创新能力。
学生特点分析:学生已具备一定的电子技术基础,具有较强的学习兴趣和动手能力,但部分学生对数字电路的原理和应用尚不熟悉。
教学要求:1. 结合课本内容,注重理论与实践相结合,提高学生的实际操作能力;2. 突出重点,分步骤讲解,确保学生掌握数字电路设计的基本方法;3. 注重培养学生的创新思维和团队合作精神,提高学生的综合素质。
二、教学内容1. 数字电路基础知识回顾:组合逻辑电路、时序逻辑电路的原理与设计方法,数字电路常用器件的特性和应用。
2. 数字钟原理及功能:讲解数字钟的组成、工作原理,介绍秒、分、时显示功能及闹钟、定时器等拓展功能。
3. 多功能数字钟设计:引导学生运用所学知识,结合实际需求,设计具有基本时间显示和至少一项拓展功能的数字钟。
a. 电路图设计:使用EDA工具绘制电路图;b. 电路仿真:运用EDA工具对设计电路进行功能仿真;c. 硬件制作:根据电路图焊接元器件,制作数字钟;d. 调试优化:对制作完成的数字钟进行调试,确保其正常运行。
多功能数字钟的电路设计目录:一、设计题目二、设计任务和要求三、电路原理分析与程序设计四、元器件五、仿真图六、心得体会七、参考文献资料八、实物图一、题目:多功能数字钟的电路设计二、设计任务与要求1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
选做:1)闹钟功能,可按设定的时间闹时。
2)日历显示功能。
将时间的显示增加“年”、“月”、“日”。
三,电路原理分析与程序设计1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
数字钟的整机逻辑框图如下:1)555秒脉冲发生电路与晶振秒脉冲发生电路的比较555与RC组成的多谐振荡器,产生频率 f=1kHz的方波信号,则可设计出相应的电路,其中RP可微调振荡器的输出频率f。
555由电阻分压器、电压比较器、基本R-S触发器、放电三极管和输出缓冲器5部分组成。
要产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路。
但是相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,所以最后决定采用晶振脉冲发生电路。
石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。
晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
题目:多功能数字钟院校:华侨大学厦门工学院专业:09级电气工程及自动化5班学生姓名:黄宇鸿学号:0902105013指导老师:解源老师课题时间:2011/5/14~2011/6/32011年5月31日设计任务书设计目的:使我们在学习完《电子技术基础》上,更加牢固深化和巩固数字逻辑电路的基本概念;系统地掌握逻辑电路的分析和设计方法;熟悉一些典型的、有代表性的线路及其应用特性;通过此次亲自动手设计课程实验,培养设计与调试数字电路的能力。
为学好后续课作好准备。
设计内容:1、设计具有“分”、“时”十进制数字显示的电子钟。
2、小时的计时为24进制,分(秒)的计时为60进制。
3、具有时间校对功能,分别对“分”、“时”进行校对。
4、采用74系列中小规模集成器件。
所需器件:(个人用)1、集成芯片:74LS48(4片)、74LS160(4片)、74LS00(2片)、74LS04(1片)、NE555(1片)、共阴七段数码管(4个)。
2、元器件:电容:电解电容(10μF 1个)、瓷片电容(10nF 3个)。
3、电阻:3.3kΩ(2个)、15kΩ(1个)、68kΩ(1个)。
4、其他器件:面包板(4块)、导线(红、白线若干)、LED红色发光二极管(1个)。
5、制作工具:镊子,钳子,万用表,试验用可调直流电源。
设计正文一、系统概述:1.1设计背景与意义:随着人类的进步科学技术的发展,时间观也越来越被人们重视,而能够准确的知道时间能够提高人们的工作效率,能更好的在规定的时间内完成所规定的工作。
因此能有随时随地的知道当前时间是非常重要的。
随着科学技术的发展,单片机技术的不断完善,使得数字钟得设计变得更加灵便、更加简单、功能更加完善、计时更加准确。
1.2总体方案设计:根据系统设计的要求和设计思路,确定该系统的设计结构。
如下图。
硬件电路主要由74系列集成块、集成定时器NE555、共阴七段数码管显示、LED二极管构成。
如下附图。
1.3相关方案的比较说明:方案一:采用6个阴七段数码显示器,应用器件于接线较多,较为繁琐,但计时相对准确,符合课程设计要求。
如下附图:方案二:采用4个阴七段数码显示器,应用器件较少,接线较为简单,具有体积小,集成度高,可靠性能好,易于扩展等优点,又符合课程设计要求。
如下附图:综上所示,在这个设计中,考虑到同学们对于各个元器件的应用熟练度,以及购买器件总价等因素,班级统一决定选择以方案二为模板进行课程设计。
二、单元电路设计与分析:2.1 时钟脉冲电路—NE555单元电路:如下附图工作原理:5脚经0.01uF电容接地,比较器C1和C2的比较电压为:UR1=2/3VCC、UR2=1/3VCC。
当VI1>2/3VCC,VI2>1/3VCC时,比较器C1输出低电平,比较器C2输出高电平,基本RS触发器置0,G3输出高电平,放电三极管TD导通,定时器输出低电平。
当VI1<2/3VCC,VI2>1/3VCC时,比较器C1输出高电平,比较器C2输出高电平,基本RS触发器保持原状态不变,555定时器输出状态保持不来。
当VI1>2/3VCC,VI2<1/3VCC时,比较器C1输出低电平,比较器C2输出低电平,基本RS触发器两端都被置1,G3输出低电平,放电三极管TD截止,定时器输出高电平。
当VI1<2/3VCC,VI2<1/3VCC时,比较器C1输出高电平,比较器C2输出低电平,基本RS触发器置1,G3输出低电平,放电三极管TD截止,定时器输出高电平。
2.2计数器单元电路—74LS160:74LS160功能表如下图:74LS160构成秒的六十进制计数器:数字钟的“秒”、“分”信号产生电路都是由六十进制计数器构成,“时”信号产生电路为二十四进制计数器。
它们都可以用两个“可予制四位二进制异步清除”计数器来实现。
利用74LS160芯片的预置数功能,也可以构成不同进制的计数器。
因为一片74LS160内含有一个四位二进制异步清除计数器,因此需用两片74LS160就可以构成六十进制计数器了。
集成电路74LS160芯片的电路其中(如图3)CP为时钟脉冲输入端,D0、D1、D2、D3为预置数输入端,为置数控制端,为异步复位端,二者均为低电平有效;Q0、Q1、Q2、Q3为计数器的输出端。
74LS160管脚排列图(如右图)a:计数功能:当 = =CTP=CTT=1,CP=CP↑时,实现计数功能。
b:同步并行置数功能:当 =1时,预置控制端 =0,并且CP=CP↑时,Q3Q2Q1Q0= D3D2D1D0,实现同步预置数功能。
c:保持功能:当 = =1且CTP•CTT=0时,输出Q3Q2Q1Q0保持不变。
d:异步清零功能:秒个位计数器47LS160被接成十进制计数器,其置数输入端A、B、C、D(3脚4脚5脚6脚)接低电平,LD、ENT、ENP(9脚10脚7脚)接高电平,秒脉冲由CP (2脚)端输入。
计数器的输出端QA、QB、QC、QD(14脚13脚12脚11脚)接译码电路74LS48的输入端A、B、C、D。
当秒脉冲输入时,电路状态按二进制自然序列依次递增1,QA、QB、QC、QD输出为0000、0001、0010、0011、0100、0101、0110、0111、1000、1001,当输出为1010也就是10时,QA、QC 输出都为1,经过一个与非门后一路经反相后送入或非门的一个输入端,输出送往计数器的清零端RD使秒计数器清零,另一路经反相后作为进位脉冲送入秒十位计数器的脉冲输入端。
秒十位计数器在这里74LS160被接成六进制计数器,接法与秒个位计数器相同,秒个位计数器送来的进位脉冲送入秒使位计数器的脉冲输入端,使其按二进制自然序依次递增1,QA、QB、QC、QD端输出为0000、0001、0010、0011、0100、0101,当输出为0110也就是6时,QB、QC输出为1,QA、QD输出为0,QB、QC 经过一个与非门后一路先送往秒十位计数器的清零端,然后取反接或非门的另一个输入端后送入秒个位计数器的清零端,将整个秒计数器清零,另一路经反相后作为进位脉冲送入分个位计数器的脉冲输入端。
分计数器的连接方法与秒计数器相同,分计数器向时计数器送进位脉冲。
秒、分的六十进制计数器的构成如下附图所示:74LS160构成小时的二十四进制计数器二十四进制计数器,也是用两个74LS160集成块来实现的,方法与二十四进制计数器大同小异,但其要求个位是十进制,状态变化在0000~1001间循环,十位是二进制,状态变化在0000~0010间循环,显示为0~23时。
由分计数器送来的进位脉冲送入时个位计数器,电路在分进位脉冲的作用下按二进制自然序依次递增1,当计数到24,这时小时个位输出0100(也就是4),小时十位输出0010(也就是2),小时十位计数器只有QC端有输出,小时个位计数器只有QB端有输出,将QC、QB端接一个二输入与非门,与非门输出一路先送入十位计数器的清零端然后取反送入或非门的另一个输入端,输出接小时个位计数器的清零端,其每10小时清零并向小时十位计数器送进位脉冲,当十位输出为二,小时个位输出为四时,将整个电路清零,另一路取反后作为星期进位脉冲送入星期显示电路的脉冲输入端,完成24小时的显示及向星期电路送星期进位脉冲的功能。
二十四进制计数器的构成如附图2.22所示:2.3 译码与显示电路—74LS48、七段共阴显示器译:如下附图译码器驱动共阴极数码管电路从74LS48的A、B、C、D端输入二进制数即可完成显示功能。
2.4 校时电路:对校时电路的要求是,在小时校正时不影响分和秒的正常计数;在分钟校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1HZ的校时脉冲计数。
“慢校时”是用手动产生单脉冲作为校时脉冲。
图1-6为校“时”、校“分”电路。
其中S1为校“分”用的控制开关,S2为校“时”用的控制开关,它们的控制功能如下表所示。
校时脉冲采用分频器输出的1HZ脉冲,当S1或S2分别为“0”时可进行“快校时”。
如果校时脉冲由单脉冲是产生器提供。
则可以进行“慢校时”。
需要注意的是,校时电路是由与非门构成的组合电路,开关S1或S2为“0”或为“1”时,可能会产生抖动,接电容C1、C2可以缓解抖动。
必要时还应将其改为去抖动开关电路。
如下附图所示:校时电路三:电路的安装与调试:3.1 电路安装:3.2 电路调试:1、调试方法步骤:2、调试过程:3、出现问题、解决方法:第四章:结束语:4.1 设计结论:4.2 工作展望:4.3 收获体会参考文献:康华光主编. 电子技术基础–数字部分(第五版)罗杰,谢自美.电子线路设计、实验、测试.武汉:华中科技大学出版社,2008年第4版/ 2006年第3版.陈明义.电子技术课程设计实用教程.中南大学出版社,2010年第3版.阎石等.数字电子技术基础(第四版). 北京:高等教育出版社.王喜斌,王凤宇.电子技术实验与课程设计创新与竞赛.哈尔滨工业大学出版社,2010年.四、附录:附录1、整体电路原理图。
附录2、电路板制作(实物图—拍照)。
附图3.11上图为无校时电路接线:附图3.12上图为有校时电路接线:附录3、元器件明细表(含使用仪器设备及型号)。