信号发生器的设计
- 格式:docx
- 大小:146.16 KB
- 文档页数:14
信号发生器的设计仿真与PCB设计制作班级姓名学号(一)信号发生器由以下几部分组成:1、±12v稳压电源电路2、方波产生电路3、三角波产生电路4、正弦波产生电路5、总的信号输出电路(二)设计任务和要求设计任务1、用1N4007、LM7812、LM7912设计出±12v稳压电源电路2、用集成运算放大器设计出能产生方波、三角波、正弦波且频率和幅度可调的信号发生器。
3、设计电路原理图、电路印刷版图。
(三)要求1、在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函数信号发生器。
2、信号频率:1kHz~10kHz3、输出电压:方波:Vp-p≤24V三角波:Vp-p≤6V正弦波:Vp-p>1V4、方波:上升和下降时间:≤10ms5、三角波失真度:≤2%6、正弦波失真度:≤5%(四)可选用器材1、1N4007、LM7812、LM79122、OP07、uM741、LM324、LM3583、电阻、电位器、电容等元器件若干。
4、开关、接插件、接线端子。
(五)函数发生器电路组成及工作原理函数信号发生器由波形产生电路、电源电路组成,如图1和图2所示。
波形产生电路可产生正弦波,方波和三角波,正弦波是由RC文氏电桥振荡器产生,振荡频率由双刀五位波段开关S1、S2(只用两位)接入不同倍频的电容进行粗调,频率细调用同轴双联电位器W1、W2调节。
D1、D2组成温服电路,W3可进行振荡幅度的调节和失真调整。
为保证能够起振,且减小波形失真,应使R4的阻值略大于R3的阻值的2倍。
方波使由正弦波经过零比较器产生。
方波的频率与正弦波相同,由公式f=1/2∏(W1+R1)C1决定,通过计算可知这个电路产生信号频率范围是150hz-50khz。
信号频率还可进行扩展,但随频率升高,正弦波幅度有所下降,方波发生失真。
三角波是有通用的方波-三角波电路产生,并未采用将方波通过积分的方法产生,因为产生的三角波失真较大。
函数信号发生器的设计说明设计说明:函数信号发生器一、引言二、设计目标1.实现多种基础波形的产生,包括正弦波、方波、三角波、锯齿波等。
2.实现复杂信号的产生,如脉冲信号、调频信号、调幅信号等。
3.提供可调节的信号频率、幅度、相位等参数。
4.具备高稳定性和低失真度的特点。
三、系统架构系统主要由以下模块组成:1.控制模块:负责接收输入的指令、参数,并对其他模块进行控制。
2.信号生成模块:负责产生各种类型的基础波形信号和复杂信号。
3.波形控制模块:负责对生成的信号进行频率、幅度、相位等参数的调节和控制。
4.输出模块:负责将生成的信号输出到外部设备。
四、关键技术1.时钟模块:使用高精度稳定的时钟源来提供基准时钟信号,用于信号的定时和同步。
2.数字信号处理芯片:通过运算、变换等算法实现各种基础波形信号的产生,可以实时调节频率、幅度等参数。
3.数字模拟转换模块:将数字信号转换为模拟信号,并输出到外部设备。
4.软件算法:基于不同的波形类型,设计相应的算法来生成信号,并实现参数的实时调节。
五、设计流程1.确定系统的整体架构和功能模块划分。
2.根据每个模块的功能需求和接口特点,选择合适的硬件和软件实现方案。
3.实现控制模块,包括指令的解析、参数的读取和传递等。
4.实现信号生成模块,根据不同的波形类型和参数要求,设计相应的算法实现信号的产生。
5.实现波形控制模块,设计参数的调整和控制界面,并与信号生成模块进行交互。
6.实现输出模块,将产生的信号转换为模拟信号,并输出到外部设备。
7.进行系统整体调试和测试,确保各个功能模块正常工作。
8.优化系统性能和稳定性,提高波形的准确度和控制精度。
六、预期效果本设计实现的函数信号发生器具备以下优势:1.具备多种基础波形和复杂信号的产生功能,可满足不同场合的需求。
2.通过软件算法,实现参数的实时调节和控制,提供灵活的操作界面。
3.采用高精度时钟源和数字信号处理芯片,保证信号的稳定性和精确度。
课程设计任务书一、设计题目:信号发生器设计二、设计目的:掌握方波-三角波-正弦波的设计方法和调试技术。
三、设计内容与要求:信号发生器是常用的测试仪器,常用的信号源有正弦波、方波、三角波、锯齿波、阶梯波等。
①RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz,输出幅值300mV~5V可调、负载1KΩ。
②矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。
③三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。
④多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。
四、设计思路及实验原理:1、正弦波产生电路(由放大电路、选频网络和反馈网络组成)从结构上看,RC正弦波振荡电路就是一个没有输入信号的带选频网络的正反馈放大电路。
振幅平衡和相位平衡是正弦波振荡电路产生持续振荡的两个条件。
其中,振荡频率是由相位平衡条件所决定的。
刚开始时,Rf略大于R1的两倍,这样放大倍数才会略大于3,电路才能够起振。
一段时间后,可以利用非线性元件来自动调整反馈的强弱以维持输出电压恒定,也可以将Rf 用滑动变阻器代替,人为调节放大倍数,从而使电路能够产生幅度稳定、几乎不失真的正弦波。
其选频网络的频率特性如下:1211,;11rj cr r j cZ r Z j c j c j c r j c ωωωωωω+=+===++反馈网络的反馈系数为2212();13()v Z j cRF s Z Z j cR j cR ωωω==+++由此可得RC 串并联选频网络的幅频响应及相频响应2003()v F j ωωωω=+-0()arctan;3f ωωωωϕ-=-可以计算,当00112f f rc rc ωωπ====或时,幅频响应的幅值为最大,即max 1;3F =相应的相频响应的相位角为零,即0;f ϕ=此时输出电压的幅值最大,并且输出电压为输入电压的3倍。
模拟电路课程设计报告设计课题:信号发生器设计班级:10通信工程三班学生姓名:陶冬波学号:2010550921指导教师:设计时间:目录一、信号发生器摘要--------------------3二、设计目的---------------------3三、设计内容和要求四、设计方案------------------------------------------34.1 RC桥式正弦波产生电路--------------------------------------3 4.2方波产生电路----------------------------------------------------6 4.3三角波产生电路-------------------------------------------------84.4多用信号发生器-------------------------------------------------9五、组装调试及元件清单---------------------------105.1 测试仪器---------------------------------------------------------10 5.2信号发生器元件清单-----------------------------------------------115.3调试中出现的故障、原因及排除方法----------------------11六、总结设计电路,改进措施----------------------116.1 正弦波产生电路改进措施--------------------------------------116.2多用信号发生器改进措施---------------------------------------11七、收获和体会-----------------------------------------12八、参考文献--------------------------------------------12信号发生器设计一、信号发生器设计摘要:本设计介绍了波形发生器的制作和设计过程,并根据输出波形特性研究该电路的可行性。
电路中的信号发生器设计信号发生器是电子工程中常见的一种仪器,它可以产生各种类型的电信号,供电路测试和故障排除使用。
在电路中,信号发生器的设计是至关重要的,它需要考虑到信号的波形、频率、幅度和稳定性等因素。
在信号发生器的设计中,首先需要确定所需的信号类型。
常见的信号类型有正弦波、方波、三角波等。
每种信号类型都具有不同的特点和用途。
例如,正弦波是信号中频率最高的一种,可以用于测试电路的频率响应;方波则具有尖锐的边缘,适用于测试电路的响应时间。
其次,设计者需要考虑信号的频率范围。
频率是信号发生器的一个重要参数,它决定了信号的周期性和变化速度。
对于不同类型的电路,会有不同的频率要求。
例如,对于音频电路,频率范围通常在20Hz到20kHz之间;而对于射频电路,则需要更高的频率范围。
信号的幅度也是设计中需要考虑的因素之一。
幅度决定了信号的强度和电压变化范围。
在设计中需要确定信号的最大和最小电压值,以及信号与零点的偏移量。
幅度过小可能无法有效测试电路的动态响应;而幅度过大则可能导致电路性能下降或损坏。
另一个关键因素是信号的稳定性。
信号的稳定性直接影响到电路测试的准确性和可重复性。
在设计中需要采取一系列措施来提高信号的稳定性,如使用高稳定性的振荡器和放大器,使用稳定的电源和引入负反馈等。
此外,信号发生器的设计还需要考虑到其他一些因素,如输出阻抗、输入电压范围、工作温度范围等。
输出阻抗的选择需要和测试电路的输入阻抗匹配,以确保信号的传递效率和准确性。
输入电压范围需要根据后续电路的需求确定,以保证信号的输入不超出其工作范围。
工作温度范围需要考虑到信号发生器在不同环境下的稳定性和可靠性。
在信号发生器的设计过程中,还需要进行电路仿真和实验验证。
通过电路仿真软件,可以对设计的电路进行建模和验证,以预测信号的性能和相应电路的响应。
在实验验证中,需要使用实际器件和测量仪器对设计的信号发生器进行测试和评估。
综上所述,电路中的信号发生器设计涉及到信号类型、频率、幅度、稳定性等多个因素。
制作一个正弦信号发生器的设计
一、正弦信号发生器的概念
正弦信号发生器是一种可以产生所需频率的正弦波信号的设备,可以
帮助开发者测量和分析频率特性,也可以用于相关系统的诊断。
正弦信号
发生器可以产生指定频率的正弦波形,以满足不同系统的需求。
它也可以
通过波形对比法进行精确的波形测量,用于分析电子系统特性。
(1)电路设计
正弦信号发生器的电路设计主要有两种:一种是基于模拟电路的设计,另一种是基于数字电路的设计。
(1)模拟电路
模拟电路设计采用的是电路模块,主要有振荡器、滤波器、缓冲器和
调制电路。
(a)振荡器
振荡器主要由振荡电路和调整元件组成,振荡器的作用是形成振荡的
正弦波,以满足信号发生器产生不同频率的要求。
(b)滤波器
滤波器的作用是滤除振荡器产生的额外噪声,以得到纯净的正弦信号。
(c)缓冲器
缓冲器的主要作用是将振荡器的正弦波输出,缓冲器的作用是减少信
号失真,使正弦波更加完美。
(d)调制电路
调制电路的作用是对信号发生器产生的正弦波进行调制,使其能够输出更加稳定的信号频率。
(2)数字电路
采用数字电路设计的正弦信号发生器。
3、信号发生器的设计3.1、信号发生器的概念及分类信号发生器是一种能提供各种频率、波形和输出电平电信号,常用作测试的信号源或激励源的设备。
信号发生器能产生所需参数的电测试信号。
按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
本次课程设计中需要设计、制作并且应用的是正弦波振荡器。
正弦信号是使用最广泛的测试信号。
这是因为产生正弦信号的方法比较简单,而且用正弦信号测量比较方便。
正弦信号源又可以根据工作频率范围的不同划分为若干种。
3.2、信号发生器的应用及作用信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
函数信号发生器在电路实验和设备检测中具有十分广泛的用途。
例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。
在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。
信号发生器的作用——信号调制功能:信号调制是指被调制信号中,幅度、相位或频率变化把低频信息嵌入到高频的载波信号中,得到的信号可以传送从语音、到数据、到视频的任何信号。
信号调制可分为模拟调制和数字调制两种,其中模拟调制,如幅度调制(AM)和频率调制(FM)最常用于广播通信中,而数字调制基于两种状态,允许信号表示二进制数据。
3.3、信号发生器的工作原理及起振条件从结构上看,正弦波振荡电路就是一个没有输入信号的带选频网络的正反馈放大电路。
引言信号发生器又称为信号源,其工作原理是利用频率合成技术提供各种频率、波形和输出电平电信号,常用作测试电子设备的仪器,广泛应用于通信、雷达、测控领域,电子以及现代化仪器仪表等领域,在电子参数的测量过程当中,信号发生器是必不可少的仪器。
目前大多数的波形信号发生器价格都较高,体积大,二次开发复杂,使用进口元器件较多。
单片机,由CPU、定时器和多接口等器件组成的自动化单片微型计算机。
其作为一种微型控制器,主要有体积小、低功耗、控制功能强,功能齐全和使用方便等优点。
本文设计的基于单片机的信号发生器,用户可通过触屏、指令分别设置中心频率及输出功率,控制连续波、脉冲信号的输出,操作简单,价格低。
1 方案设计1.1 系统功能设计并实现一个基于STM32F103的信号发生器:用户可通过触摸屏、指令分别控制;输出频率:550M~4.4G;输出功率:6dBm~-77dBm;输出波形:连续波、脉冲;输出频率精度:1k;输出功率最小分辨率:0.5dBm。
1.2 系统组成系统硬件共分为触屏/指令控制模块、波形产生模块、主控模块、信号衰减模块、电源模块五部分,如图1所示。
(1)触屏/指令控制模块:包括触摸屏(北京迪文DMG 12700T050_06WTC)、USB转TTL串口模块。
通过触摸屏/串口向主控模块发送指令,控制输出信号的中心频率、功率。
(2)波形产生模块:宽带锁相环频率源,主芯片ADF4351。
产生信号并放大。
(3)主控模块:单片机STM32F103ZET6。
对触摸/指令控制模块发送的控制指令进行解析,生成相应设置指令发送至波形产生模块、信号衰减模块,产生控制电压至继电器控制模块、波形产生模块。
(4)信号衰减模块:包括继电器控制模块、两态衰减器、程控衰减器、旋钮可调衰减器。
受主控模块控制,将信号衰减至相应大小。
(5)电源模块主要功能:提供各模块工作所需要的电源,15V、12V、5V、±5V。
系统软件共分为触屏/指令控制软件、主控软件两部分,如图2所示。
什么是信号发生器如何设计一个简单的信号发生器电路什么是信号发生器如何设计一个简单的信号发生器电路信号发生器是一种电子测试仪器,用于产生不同频率、不同波形的信号。
它被广泛应用于各种电子设备的测试、调试以及科学研究中。
本文将介绍信号发生器的基本原理以及如何设计一个简单的信号发生器电路。
一、信号发生器的基本原理信号发生器的基本原理是通过电路产生稳定的、可调节的频率和振幅的信号。
主要包括以下几个方面:1. 振荡器:信号发生器的核心部件是振荡器。
振荡器通过负反馈回路将部分输出信号重新输入到输入端,以维持振荡的稳定性。
2. 频率控制电路:用于调节信号的频率大小。
通常采用可变电容或可变电感等元件来实现频率的调节。
3. 幅度控制电路:用于调节信号的振幅大小。
通常采用可变电阻或放大器等元件来实现幅度的调节。
4. 输出电路:用于将振荡器产生的信号输出到外部设备,如示波器、信号分析仪等。
二、设计一个简单的信号发生器电路设计一个简单的信号发生器电路需要考虑以下几个基本要素:1. 振荡器电路:选择适合的振荡器电路,如RC振荡器、LC振荡器等。
以RC振荡器为例,可选用一个电容和一个电阻来构成振荡器电路。
2. 频率控制电路:采用可变电容电路来实现对频率的调节。
可选用一个可变电容与振荡器电路相结合,通过调节电容值,达到对频率的调节。
3. 幅度控制电路:采用可变电阻电路来实现对振幅的调节。
可选用一个可变电阻与振荡器电路相连,通过调节电阻值,达到对振幅的调节。
4. 输出电路:将振荡器产生的信号经过放大器放大后输出。
该放大器可以选择运算放大器、晶体管放大器等。
设计步骤:1. 确定电路的工作电压和功率需求,并选择适合的供电电源。
2. 根据振荡器的选择,选取合适的电容和电阻,并连接成振荡器电路。
3. 在振荡器电路中加入可变电容电路,用于频率的调节。
4. 将振荡器电路与可变电容电路连接到幅度控制电路中的可变电阻上,用于振幅的调节。
5. 添加输出电路,将振荡器产生的信号经过放大器放大后输出到外部设备。
信号发生器电路的设计制作
一、信号发生器简介
信号发生器是一种重要的仪器,它能够发出各种形式的各种频率的信号,用来测试和诊断各种电子系统或设备的性能。
它是一种电子设备,由
信号源、振荡器、放大器、滤波器和调节装置等部件组成。
根据调节方式
可以分为手动调节和自动调节信号发生器,根据输出信号的形式可以分为
正弦波发生器、方波发生器、三角波发生器、矩形波发生器和复合波发生
器等。
二、电路设计
1.方波发生器电路
方波发生器电路采用的是一种常见的方波发生器电路,它的基本结构
是一个多端子调节运算放大器,其中还包括一个延迟网络,可以调整方波
的形状和占空比。
它包括一个双反馈状态放大器IC1,通过低通滤波器C2、R1和R2,用来调节方波的低频速率、占空比和峰值。
该电路的方波形式
分为高平和低平,方波频率主要取决于C1和R3的值。
2.正弦波发生器电路
正弦波发生器电路主要由振荡器、低通滤波器、无源元件、放大器等
元件组成。
在低通滤波器中,C1、C2、R1和R2用于调节输出信号的频率,其中R2的电容和电阻之间的比值决定了信号的波形。
在放大器阶段,R3
与C2共同作用,可以调节输出信号的幅度。
单片机PWM信号发生器的原理与设计引言在现代电子技术中,脉冲宽度调制(PWM)信号发生器被广泛应用于各种电路和系统中。
单片机作为常见的嵌入式系统解决方案,具备了成本低、功耗低、可编程性强等优势,因此被广泛用于PWM信号发生器设计中。
本文将介绍单片机PWM 信号发生器的原理与设计。
一、PWM信号发生器的原理1.1 脉冲宽度调制(PWM)概述脉冲宽度调制(PWM)是一种将模拟信号转换为数字信号的技术。
PWM信号由连续的短脉冲组成,其脉冲的宽度可以根据需要进行调整。
通过改变脉冲信号的宽度与周期之比,可以模拟出不同的模拟信号输出。
1.2 PWM信号发生器的基本原理PWM信号发生器的基本原理是通过控制脉冲的宽度和周期,实现对输出波形的精确控制。
单片机通常具有定时器模块,通过定时器模块的特定设置,可以生成精确的脉冲信号。
单片机还需要连接输出引脚,将生成的PWM信号输出给外部电路。
二、单片机PWM信号发生器的设计2.1 硬件设计单片机PWM信号发生器的硬件设计包括选择合适的单片机、外部电路连接和输出端口设计。
首先,选择适合的单片机。
考虑到PWM信号发生器需要高精度、可编程性强的特点,可以选择带有定时器模块的单片机。
常见的单片机型号有ATmega系列、PIC系列等。
根据实际需求选择合适的型号。
其次,进行外部电路连接。
通常需要连接电源、晶体振荡器以及输出端口。
电源提供电压稳定源,晶体振荡器提供时钟信号。
输出端口需要连接到PWM信号的目标设备上。
最后,进行输出端口设计。
根据实际需求确定输出端口的数量和类型。
常用的输出接口有GPIO、PWM输出等。
根据单片机型号和外部电路要求进行设计。
2.2 软件设计单片机PWM信号发生器的软件设计包括定时器设置和PWM生成代码编写。
首先,进行定时器设置。
根据单片机型号和需求,设置定时器的时钟源、分频系数、计数模式等参数。
通过合理的定时器设置,可以实现精确的脉冲宽度和周期控制。
其次,编写PWM生成代码。
信号发生器软硬件设计信号发生器(Signal Generator)是一种用于产生各种类型的电子信号的设备。
它常用于测试和调试电子设备,以生成模拟和数字信号,如正弦波、方波、脉冲等,以及调整信号的频率和幅度。
在本文中,将探讨信号发生器的软硬件设计。
软件设计是信号发生器的重要组成部分。
它包括以下方面:1.控制模块:信号发生器需要一个控制模块来接收用户输入,并根据用户的要求来生成相应的信号。
该模块通常由一个微处理器或微控制器实现。
它可以通过键盘、旋钮、按钮等输入设备接收用户的命令,并将命令转化为相应的信号参数。
2.信号生成模块:这是信号发生器最重要的部分,它用于根据用户的要求生成各种类型的信号。
该模块通常由数字信号处理器(DSP)或可编程逻辑器件(FPGA)实现。
它可以根据输入的信号参数生成模拟信号,如正弦波、方波、脉冲等,或者生成数字信号,如PWM信号、序列信号等。
3.时钟模块:时钟模块用于提供稳定的时钟信号,以控制信号发生器的工作频率。
该模块通常由一个晶振或定时器实现。
它可以根据用户的设定来生成不同的时钟频率,并通过时钟分频器来分频,以生成不同的信号频率。
硬件设计也是信号发生器的重要组成部分。
它包括以下方面:1.信号输出模块:信号发生器需要一个信号输出模块来将生成的信号输出到外部设备。
该模块通常由一个输出缓冲器、一个放大器和一个滤波器组成。
它可以将生成的信号放大并滤波后输出到外部设备,以满足不同设备的输入要求。
2.显示模块:信号发生器通常需要一个显示模块来显示当前的信号参数。
该模块通常由一个液晶显示器或数码管实现。
它可以显示信号的频率、幅度和类型等参数,以供用户参考。
同时,它也可以显示用户输入的命令和当前的操作状态。
3.控制接口:信号发生器通常需要一个控制接口来与外部设备进行通信。
该接口通常由一个串口或USB接口实现。
它可以实现与计算机或其他控制设备的数据传输和命令控制,以方便用户进行远程控制和监测。
DDS函数信号发生器的设计第一篇:DDS函数信号发生器的设计DDS函数信号发生器的设计、仿真及下载一、实验设计① 利用DDS(Direct DIgital Frequency Synthesis,即直接数字频率合成)技术产生稳定的正弦波,三角波和方波输出,输出频率为10~1000kHz且频率可调,步进为10Hz,1kHz,10kHz,100kHz。
② 用VerilogHDL进行建模和模拟仿真,再利用FPGA进行实现D/A转换。
③ 下载到DE0板上利用VGA端口的一个四位孔进行A/D转换显示在示波器上。
二、实验原理一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。
DDS的原理框图如下所示:图 1 直接数字频率合成器原理图其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。
相位累加器在时钟 fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。
合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。
【来自百度】本设计中直接利用DE0开发板通过D/A转换得到输出波形,省略了低通滤波器这一环节。
DDS工作流程示意图:DDS的具体实现框图:三、实验内容:1、相位累加器和数据锁存器的设计本设计采用模24的二进制累加器和寄存器,其中累加器和寄存器在一个模块中,只取锁存数据的高十位作为查表的地址值。
//地址计数器模块;module counter(clk,fre_word,address);input clk;//声明系统时钟为clkinput [23:0] fre_word;//声明24为频率控制字output reg [9:0] address;reg [23:0] phaseadder;always @(posedge clk)beginphaseadder=phaseadder+fre_word;address=phaseadder[23 :14];//地址取输出锁存后十位(对应波形为一个周期取1024点)end endmodule2、波形存储器设计本设计要求DDS系统能输出方波、三角波及正弦波三种波形。
EDA技术课程实验设计课程题目: 信号发生器的设计(元件例化语句)姓名:学号: 1107050119专业:通信工程2011级学院:电气信息学院指导教师:时间:目录:摘要----------------------------------------------------------------3 第一章:设计简介----------------------------------------------------4 1.1 EDA简介------------------------------------------------------4 1.2 VHDL简介-----------------------------------------------------4 1.3 Quartus II简介-----------------------------------------------5 1.4 SignalTap II简介---------------------------------------------5 第二章:设计基本要求------------------------------------------------52.1 学习用VHDL语言实现系统层次化设计----------------------------52.2 进一步熟悉SignalTap II的使用---------------------------------6 第三章:设计原理----------------------------------------------------63.1 信号发生器---------------------------------------------------6 3.2 实现方法-----------------------------------------------------6 第四章:设计过程----------------------------------------------------74.1 设计基本程序-------------------------------------------------74.2 管脚对应表--------------------------------------------------124.3 图形法生成原理图--------------------------------------------13 第五章:编译仿真波形-----------------------------------------------135.1 正弦波仿真结果----------------------------------------------135.2 方波仿真结果------------------------------------------------14 第六章:实验总结---------------------------------------------------14 参考文献-----------------------------------------------------------14摘要:硬件描述语言VHDL是EDA技术中的重要组成部分,VHDL是当前最流行的硬件描述语言之一,此语言具有良好的可读性,可移植等特点。
本设计主要是利用VHDL语言设计一个多功能信号发生器,根据输入信号的选择可以输出方波、正弦波,主要使用了Altera公司的Quartus II软件。
本设计利用VHDL语言使用文本输入法,新建工程,通过设计输入、编译,仿真完成各种信号的设计,然后生成元器件,再使用原理图输入法完成各部分的整合,从而形成一个完整的多波形信号发生器。
关键字:VHDL, Quartus II, 多功能信号发生器Abstract:Hardware describle language HDL is an important part of EDA technology,VHDL is one of the current most popular hardware describe language,this language has a nice readablitity and portability.this design primarily uses VHDL language to design a versatile signal generator.according to the choice of the input signal wave、the generator can export the one wave of the two waves including square-wave、sine-wave、this design primarily uses the Quartus II software of Altera company. The design uses text input method by VHDL language to creat new projects,design the input,compile,simulate various kinds signals.And it generate new component,then it form a completed various kinds sianals generator by schcmatic diagram method.第一章:设计简介1.1 EDA简介EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。
在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。
这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。
这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
1.2 VHDL简介VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。
它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。
当然在一些实力较为雄厚的单位,它也被用来设计ASIC。
VHDL主要用于描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。
VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。
在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。
这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。
1.3 Quartus II简介Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
1.4 SignalTap II简介SignalTap II 是一款功能强大且极具实用性的FPGA片上debug工具软件,它集成在altera公司提供的FPGA开发工具Quartus II中。
SignalTap II全称SignalTap II Logic Analyzer是第二代系统级调试工具,可以捕获和显示实时信号,观察在系统设计中的硬件和软件之间的互相作用。
Quartus II软件可以选择要捕获的信号、开始捕获的时间,以及要捕获多少数据样本。
还可以选择时间数据从器件的存储器块通过JTAG端口传送至SignalTap II Logic Analyzer,还是至I/O引脚以供外部逻辑分析仪或示波器使用。
将实时数据提供给工程师帮助debug。
第二章:设计基本要求2.1 学习用VHDL语言实现系统层次化设计用元件例化语句实现系统层次化设计。
元件例化就是将以前设计的实体当作本设计的一个元件,然后用VHDL语句将各元件之间的连接关系描述出来。
元件的例化语句由两部分组成,第1部分是元件的定义,即将现成的设计实体定义为本设计的一个元件;第2部分是连接关系映射语句,即描述各元件之间的连接关系。
2.2 进一步熟悉SignalTap II的使用。
第三章:设计原理3.1 信号发生器指产生所需参数的电测试信号的仪器。
按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
本设计采用EDA来设计信号发生器,该信号发生器可以产生正弦波、方波两种波形。
3.2 实现方法本设计以EP2C35F672C8为硬件核心设计,使用VHDL语言的元件例化语句描述。
总共4个VHDL文件,包括:正弦波设计文件、方波设计文件、三选一元件设计文件和顶层设计文件。
图1 工程文件组成第四章:设计过程4.1 设计基本程序正弦波程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gen_sin isport( clk: in std_logic;d:out integer range 0 to 255 ); end gen_sin;architecture a of gen_sin isbeginprocess(clk)variable temp:integer range 0 to 63;beginif clk 'event and clk ='1' thenif temp = 63 thentemp :=0;elsetemp:=temp+1;end if;case temp iswhen 00=>d<=255;when 01=>d<=254;when 02=>d<=252; when 03=>d<=249;when 04=>d<=245;when 05=>d<=239; when 06=>d<=233;when 07=>d<=225;when 08=>d<=217; when 09=>d<=207;when 10=>d<=197;when 11=>d<=186; when 12=>d<=174;when 13=>d<=162;when 14=>d<=150; when 15=>d<=137;when 16=>d<=124;when 17=>d<=112; when 18=>d<=99;when 19=>d<=87;when 20=>d<=75; when 21=>d<=64;when 22=>d<=53;when 23=>d<=43; when 24=>d<=34;when 25=>d<=26;when 26=>d<=19; when 27=>d<=13;when 28=>d<=8;when 29=>d<=4; when 30=>d<=1;when 31=>d<=0;when 32=>d<=0;when 33=>d<=1;when 34=>d<=4;when 35=>d<=8;when 36=>d<=13;when 37=>d<=19;when 38=>d<=26; when 39=>d<=34;when 40=>d<=43;when 41=>d<=53; when 42=>d<=64;when 43=>d<=75;when 44=>d<=87; when 45=>d<=99;when 46=>d<=112;when 47=>d<=124; when 48=>d<=137;when 49=>d<=150;when 50=>d<=162; when 51=>d<=174;when 52=>d<=186;when 53=>d<=197; when 54=>d<=207;when 55=>d<=217;when 56=>d<=225; when 57=>d<=233;when 58=>d<=239;when 59=>d<=245; when 60=>d<=249;when 61=>d<=252;when 62=>d<=254; when 63=>d<=255;when others=>null;end if;end process;end a;方波程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gen_square isport( clk: in std_logic;q:out integer range 0 to 255 ); end gen_square;architecture a of gen_square isbeginprocess(clk)variable temp:integer range 0 to 63;beginif clk 'event and clk ='1' thenif temp = 63 thentemp :=0;elsetemp:=temp+1;if temp<32 thenq <=255;elseq<=0;end if;end if;end process;end a;选择器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity sel_fun isport( sel: in std_logic_vector(1 downto 0);fun_sin,fun_squ,fun_tri:in integer range 0 to 255;fun_out:out integer range 0 to 255 );end sel_fun;architecture a of sel_fun isbeginprocess(sel,fun_sin,fun_squ,fun_tri)begincase sel iswhen "00"=>fun_out<=fun_sin;when "01"=>fun_out<=fun_squ;when others=>fun_out<=fun_tri;end case;end process;end a;顶层文件library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity syn_dds isport(clk: in std_logic;sel: in std_logic_vector(1 downto 0);fun_out: out integer range 0 to 255); end syn_dds;architecture a of syn_dds iscomponent gen_sinport(clk: in std_logic;d: out integer range 0 to 255);end component;component gen_squport(clk:in std_logic;q: out integer range 0 to 255);end component;component sel_funport(sel:in std_logic_vector(1 downto 0);fun_sin,fun_squ,fun_tri: in integer range 0 to 255;fun_out: out integer range 0 to 255);end component;signal tclk_out:std_logic;signal tfun_sin,tfun_squ,tfun_tri:integer range 0 to 255;beginu1:gen_sin port map (clk,tfun_sin);u2:gen_squ port map (clk,tfun_squ);u4:sel_fun port map(sel,tfun_sin,tfun_squ,tfun_tri,fun_out); end a;4.2 管脚对应表图2 管脚对应表4.3 图形法生成原理图图3元件例化语句自动生成原理图图4第五章:编译仿真波形将程序输入进行编译后用Signal Tap Ⅱ进行波形的仿真,并使用实验箱上的相应的拨码开关进行选择。