多功能数字钟的设计
- 格式:doc
- 大小:225.35 KB
- 文档页数:18
多功能数字钟-电子设计
第一步实现多功能数字钟的基本功能,包括显示当前时间和设置定时
功能。
为了实现这一功能,我们需要使用一个定时器,以实现每秒钟更新
一次时间并显示在LCD屏上,同时实现定时功能。
第二步用一个按钮来切换显示当前时间和定时时间。
为了实现这一功能,我们需要在LCD屏上显示当前时间和定时时间,当按钮按下时,可以
改变当前时间和定时时间的显示。
第三步加入计时功能,使用者可以设置一个计时时间,当计时结束时,会有一个提醒和发出报警声。
为了实现这一功能,我们需要使用一个计数器,计算出时间差,当到达设定的计时时间时,发出报警声或者显示一个
提醒。
第四步增加闹钟功能,使用者可以设置一个闹钟时间,当达到闹钟时
间时,会有一个提醒和发出报警声。
为了实现这一功能,我们需要在指定
的时间段内,获取当前时间,通过一个实时检查程序,来实现闹钟功能,
当到达时间时,发出报警声或者显示一个提醒。
第五步加入天气预报功能,使用者可以查询当前城市的天气情况,以
及未来三天的天气预报。
为了实现这一功能,我们需要使用一个API来获
取天气情况,并将获取的信息在LCD屏上显示出来,方便使用者查询。
多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。
具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。
(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。
(3)整点报时:当时钟计时到整点时,能进行整点报时。
(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。
多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。
另外采用了总线的电路连线方式使电路图简洁美观。
1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。
一、多功能数字钟的设计与制作(一)相关知识:多位数(DlGIT)的驱动方式1.如采用直接驱动法驱动4个七段显示器,共需要4×8=32条的I/O线:而采用解码器驱动也要4X4=16条的I/O线,形成了I/O端口的浪费。
2.扫描显示法所需的I/O数为8+n条(n个显示器),可节省硬件电路。
3.扫描显示法要注意两点:(1)点亮时要让LED得到最大的顺向电流,通常一个LED需要10mA。
在做四位数的扫描时,每一个LED的平均电流值只有1/4的最高电流值,因此扫描时要得到适当的亮度最好有30mA以上的瞬间电流,即将LED的限流电阻降低到20~100Ω。
(2)在切至下一个显示器时,应把上一个先关闭一段时问(约50μs),再将下一个显示器扫描信号送出,以避免上一个的显示数据显示到下一个显示,即避免鬼影(TBLANK) 的产生.下图为显示器切换时间差示意图。
显示器切换时间差示意图。
4.扫描频率必须高于视觉暂留频率16Hz以卜(即62毫秒以上(二)功能说明1.开机时,显示12:00:00的时间开始计时。
2.P0.0控制“秒”的调整.每按一次加1秒,P0.1控制“分”的调整.每按一次加1分P0.2控制“时”的调整,每按一次加1个小时;(三)硬件:如图所示时钟电路图(四)程序:shiz.ASMORG 00H ;主程序起始地址AJMP START ;跳至主程序ORG 0BH ;TIMER0中断起始地址AJMP TIM0 ;跳至TIMER0中断子程序TIM0START:MOV SP,#70H ;设置堆栈在70HMOV 28H,#00 ;显示寄存器初值为0 0MOV 2AH,#12H ;“时”寄存器l忉值为12HMOV 2BH,#00 ;“分”寄存器初值为0 0HMOV 2CH,#00 :“秒”寄存器初值为00HMOV TMOD,#00000001B :设TIMER0为MODElMOV TH0,#HIGH(65536—4000) ;计时中断为4000微秒MOV TL0,#LOW(6553 6—4000)MOV IE,#10000010B ;TIMER0中断使能MOV R4,#250 ;中断250次SETB TR0 j启动TIMER0LOOP:JB P0.0,N2 ;PO.O (秒)按了?不是则跳至N2检查PO.1 ACALL DELAY ;消除抖动MOV A,2CH ;将秒寄存器的值载入AADD A,#01 ;A的内容加1DA A ;做十进位调整MOV 2CH,A ;将A的值存入秒寄存器CJNE A,#60H,N1 ;是否等于60秒?不是则跳至N1MOV 2CH,#00 ;是则清除秒寄存器的值为00N1:JNB P0.0,$ ;P0.0(秒)放开了?ACALL DELAY ;消除抖动N2:JB P0.1,N4 ;p0.1 (分)按下了吗?不是则跳至N4检查P0.2 ACALL DELAY ;消除抖动MOV A,2BH ;将分寄存器的值载入AADD A,#01 ;A的内容加1DA A ;做十进位调整MOV 2BH.A ;将A的值存入分寄存器CJNE A,#60H,N3 ;是否等于60分?不是则跳至N1MOV 2BH.#00 ;是则清除分寄存器的值为00N3:JNB P0.1,$ ;p0.1 (秒)放开了?CALL DELAY ;消除抖动N4:JB P0.2,LOOP ;P0.2 1秒)按下了吗?不足则跳至LOOP CALL DELAY ;消除抖动MOV A,2AH ;将时寄存器的值载入AADD A.#01 :A的内容加1DA A ;做十进位调整MOv 2AH,A ;将A的值存入时寄存器CJNE A,#24H,N5 ;是否等于24时?不是则跳至N5MOV 2AH,#00 ;是则清除时寄存器的值为00N5:JNB P0.2,$ ;P0.2(秒)放开了?CALL DELAY :消除抖动JMP LOOPTIM0:MOV TH0,#HIGH(6 553 6—4000) ;重设计时4 000微秒NOV TL0,#LOW(6553 6—4 000)PUSH ACC :将A的值暂存于堆栈PUSH PSW ;将PSW的值暂存于堆栈DJNZ R4 X2 :计时1秒MOV R4,#250CALL CLOCK ;调用计时子程序CLOCKCALL DISP :调用显示子程序X2:CALL SCAN ;调用扫描子程序POP PSW ;至堆栈取叫PSW的值POP ACC :至堆栈取回ACC的值SCAN:MOV R0,#28H ;(28H)为扫描指针INC @R0 ;扫描指针加lCJHE @R0.#6,X3 ;扫描完6个显示器?不是跳至X3MOV @R0,#0 ;是则扫描指针为0x3: MOV A,@R0 ;扫描指针载入AADD A,#20H ;A加常数20H(显示寄存器地址)=各时间;显示区地址;存入R1=各时间显示地址MOV R1,A ;扫描指针存入AMOV A,@R0 ;将A高低4位交换(P1高4位为扫描值,SW AP A ;低4位为显示数据值)ORL A,@R1 ;扫描值+显示值MOV P1,A ;输出至P1RETCLOCK:MOV A,2CH ;(2CH)为秒寄存器ADD A,#l ;加1秒DA A ;做十进制调整MOV 2CH,A ;存入秒寄存器CJNE A,#60H,X4 ;是否超过60秒?不是则跳至X4MOV 2CH,#00 ;是则清除为00MOV A,2BH ;(2BH)为分寄存器ADD A,#l ;加1分DA A ;做十进制调整MOV 2BH,A ;存入分寄存器CJNE A,#60H.X4 ;是否超过60分?不是则跳至X4MOV 2BH,#00 ;是则清除为0 0MOV A,2AH 7(2AH)为时寄存器ADD A,#l ;加l时DA A ;做十进制调整MOV 2AH,A ;存入时寄存器CJNE A,#24H,X4 ;是否超过24时?不是则跳至x4MOV 2AH.#00 ;是则清除为00X4:RETDISP:MOV R1,#20H ;(20H)为显示寄存器.R1=20HMOV A,2CH ;将秒寄存器的内容存入AMOV B,#10H ;设B累加器的值为10HDIV AB ;A÷B,商(十位数)存入A.余数(个位数) ;存入BNOV @R1,B :将B的内容仔入(20H)INC R1 ;RI=21HMOV @R1,A ;将A的内容存入(21H)INC R1 ;R1=22HNOV A,2BH ;将分寄存器的内容仃入ANOV B,#10H ;设B累加器的值为10DIV AB ;A÷B,商(十位数)存入A,余数(个位数);存入BMOV @R1,B ;将B的内容存入(22H)INC Rl ;R1= 23HNOV @R1,A ;将A的内容存入(23H)INC R1 ;R1=24HMOV A,2AH ;将时寄存器的内容存入AMOV B,#10H ;设B累加器的值为10HDIV AB ;A÷B,商(十位数)存入A.余数(个位数) ;存入BM0v @R1,B ;将B的内容存入(24H)INC R1 ;R1=25HMOV @R1,A ;将A的内容存入(25H)RETDELAY:MOV R6,#60 ;5毫秒D1:MOV R7,#248DJNZ R7,$DJNZ R6,D1RETEND。
多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。
本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。
数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。
文章的核心主要从硬件设计和软件编程两个大的方面。
硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。
软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。
关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。
这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。
8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。
定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。
16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。
可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。
这种控制功能是通过定时器方式控制寄存器TMOD来完成的。
在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。
技术工作时, 时钟脉冲由TO和T1输入。
中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。
外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。
2.8051的芯片引脚如图1-2所示VCC: 供电电压。
题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日索引摘要 (3)Abstract (3)1系统原理框图 (5)2方案设计与论证 (6)2.1时间脉冲产生电路 (6)2.2分频器电路 (9)2.3时间计数器电路 (10)2.4译码驱动及显示单元电路 (11)2.5校时电路 (12)2.6报时电路 (13)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (15)3.3译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (22)4.4测试结果分析 (22)5心得与体会 (23)6参考文献 (24)附录1原件清单 (25)附录2部分芯片引脚图与功能表 (26)摘要多功能数字钟具有时间显示、闹钟设置、环境温度测量、电网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。
数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。
可以使用七段显示器来显示数字。
2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。
3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。
4.日历功能:设计一个日历功能,可以显示当前的日期和星期。
5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。
6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。
7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。
8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。
这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。
基于Quartus的多功能数字钟设计该实验是利用QuartusII软件设计一个数字钟,进展试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种根本功能,并下载到SmartSOPC实验系统中进展调试和验证。
此外还添加了显示星期,闹钟设定,秒表和彩铃等附加功能,使得设计的数字钟的功能更加完善。
一、设计要求1.设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等根本功能。
2.具体要求如下:1)能进展正常的时、分、秒计时功能,最大计时显示23小时59分59秒。
2)分别由六个数码管显示时分秒的计时。
3)K1是系统的使能开关,K1=0正常工作,K1=1时钟保持不变。
4)K2是系统的清零开关,K2=0正常工作,K2=1时钟的分、秒全清零。
5)在数字钟正常工作时可以对数字钟进展快速校时和校分。
K3是系统的校分开关,K3=0正常工作K3=1时可以快速校分;K4是系统的校时开关,K4=0正常工作,K4=1时可以快速校时。
3.设计提高局部要求1)时钟具有整点报时功能,当时钟计到59’51〞时开场报时,在59’51〞,59’53〞, 59’55〞,59’57〞时报时频率为512Hz,59’59〞时报时频率为1KHz。
2)星期显示:星期显示功能是在数字钟界面显示星期,到计时到24小时时,星期上显示的数据进一位。
3)闹表设定:通过开关切换显示至闹钟界面,利用闹钟校时和校分开关对闹钟时间进展设定,且不影响数字钟计时。
当计时到闹钟设定时间蜂鸣器鸣叫,并响起彩铃。
4)秒表计时:通过开关切换显示至秒表界面,分秒局部是100进制的,即当值为99时向秒位进位。
4.仿真与验证用Quartus软件对设计电路进展功能仿真,并下载到实验板上对其功能进展验证。
二、工作原理数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几局部组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。
1多功能数字钟的设计1.1设计要求设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。
系统框图如图1-1所示:系统模式手动IHZ时钟源复位选择校时输入图IT多功能数字钟系统框图显示部分可以使用数码管(基本)或液晶LCD(扩展)。
1.2设计提示此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。
用两个电平信号A、B进行模式选择,AB=OO为模式0,系统为计时状态;AB=Ol为模式1,系统为手动校时状态;AB=Io为模式2,系统为闹钟设置状态。
设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分钟部分;当turn=l 时,表示在手动校对时,选择调整小时部分。
设置一个Change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。
设置一个reset信号,当reset=O时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。
设置一个闹钟设置信号resell,当resetl=O时,对闹钟进行设置,当resetl=O时,关闭闹钟信号。
设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LDjI指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。
当闹钟功能设置后(LD_alert=l),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。
整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号OUto 系统计时时钟为Clk=IHz,选择另一时钟clk」k=1024Hz作为产生闹铃声、报时音的时钟信号。
主控电路状态表如表1-1所示。
硬件系统示意图如图1-2所示。
晶振[OOO1.D_hLD_mMs6Ms5..LD_alertclkjkClkFP∈Λ∕(PLDturnchangeresetreset1AIIII IIII IIII LlL∣abCdefg图1-2数字钟硬件系统示意图。
引言随着人类社会步入高度发达的信息化时代,电子信息类产品日益广泛地应用于各个领域。
市场需求的变化使产品更新换代越来越快,能否尽快开发出适应市场需求的产品已成为企业生存发展的关键。
定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用的电路之一,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒,用于各种竞赛的计时器、竞赛用定时器、数控电梯、数控机床、交通灯管理系统、各种智能医疗器械等,定时器是家用电器中的常用产品。
电子技术的高速发展和计算机技术的普遍应用,电子设计也越来越普遍地应用于整个电子行业中。
电子设计是人们进行电子产品设计、开发和制造过程中十分关键的一步,其核心就是电子电路的设计。
电子技术课程设计能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识及创新思维。
课程设计是针对一些课程的要求,对我们综合性的训练,培养我们的独立能力,能够运用课程中所学到的理论与实践紧密结合地去独立地解决实际问题,使我们灵活应用电路原理和电子技术的有关知识。
我们通过自己动脑动手解决实际问题,巩固和运用在“模拟电子技术”、“数字电子技术”及“电路分析”等课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高设计能力和实验技能,通过从原理图的设计和仿真到具体电子系统的安装和调试,全面提高了我们的实际动手能力、安装调试能力、科学试验能力等方面的综合素质,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。
第1章设计总体方案1.1设计任务目标采用555定时器提供1HZ的定时脉冲使用数码显示管显示时,分,秒使用74160来进行时间计数带小时调时,分调时,秒调时功能要有总体复位开关;能可靠校时、校分;1.2总体设计方案说明数字电子钟的原理方框图如图1.1所示。
干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555定时器接成的多谐振荡器加分频器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用六十进制计数器,每累计六十秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用六十进制计数器,每累计六十分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用十二进制计时器,可实现对一天二十四小时的累计。
指示器部分是由T触发器构成的,每有一个脉冲进入T触发器,其输出端状态就会发生改变,与原态相反。
上下午指示灯是由十二进制计数器每一个周期产生一次脉冲,作为指示部分的时钟脉冲而出现的指示灯交替工作的状态。
译码显示电路将“时”、“分”、“秒”计数器的输出状态由七段显示译码器译码,通过四位LED七段显示器显示出来。
校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
校准部分是将单次脉冲转换为二进制代码,再经过译码器对输出端进行选择,从而形成对电路的校准的可控制。
具体流程如图1.2.1。
框架图如图所示总共分为六个小部分:时间显示部分,译码部分,分频器部分,调时部分,稳压电路部分以及信号发生电路部分,此设计各部分由统一电源集中供电。
电阻选择时,应考虑到受温度影响较小的固态铝质电解电容确保定时的精确性分频器采用74160使用方便,而其容易购买显示部分采用LED七段数码显示管,具有显示明亮,容易识别,价格便宜等优点,调时部分采用普通的按建开关。
1.3各部分电路功能的简单介绍1.3.1秒脉冲信号发生器秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。
由振荡器与分频器组合产生秒脉冲信号。
1.3.2分频器电路分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号1.3.3校时电路当数字钟刚接通电源或走时出现误差时,需要对其进行时间的校准,实用校时电路很多。
校时电路包括校准小时电路、校准分钟电路和校准秒电路,但校准信号频率必须要大,可手动较时或脉冲校时,可用普通机械开关或由机械开关与门电路构成无抖动开关来实现校时。
1.3.4时间计数器电路时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为六十进制计数器,而根据设计要求,时个位和时十位计数器为十二进制计数器.1.3.5数字显示电路计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用DCD_HEX作为显示译码电路。
第2章详细设计2.1数码显示管设计部分DCD_HEX图2.1数码显示部分采用六块共阴极七段数码显示管分别对时,分,秒进行显示。
芯片如上图所示,其有四个引脚,从左往右优先级依次降低。
该芯片是集显示译码器、显示器为一体的数码显示管,方便使用。
2.2校时电路图 2.2 校时电路连接图高电平U32不导通U34导通,则D、E、F端为S2触发信号。
当闭合两次时,A、C为低电平,B为高电平,G端为高电平U32不导通U34导通,则D、E、F端为S2触发信号。
当闭合三次时,B、C为低电平,A为高电平,G端为高电平U32不导通U34导通,则D、E、F端为S2触发信号。
通过以上的逻辑电路,可以实现对时、分、秒进位端的控制,从而达到对时钟的校准。
2.3 六十、十二分频器设计2.3.1分频器原理分频器主要对555定时器发来的1s脉冲按照时分秒的规律进行计数实现电子钟的计数要求,由于市场没有现成的六十、十二进制计数器所以只能用74LS160实现六十、十二进制计数需要74LS160和74LS00组成六十进制的秒计数器和工作原理74LS160工作原理如表2.3用2块74ls160实现六十进制计数第一块正常计数计数满十,c引脚输出一个计数脉冲并置U4 的11,12,13,14引脚为0,当第二快计数满六时c引脚输出一个计数脉冲并置U3的11,12,13,14脚为0其中L低电平,H为高电平。
D1,D2,D3,D4为输入端,Q0,Q1,Q2,Q3输出端CR为清零端,LD为置数端,P、T为允许端,CP为脉冲输入端。
U3、U4共同构成秒计数器,它由两个74LS160构成六--十进制的计数器,如图2.3。
U4作为秒个位十进制计数器,它的复位输入RD、和置位输入LD都接低电平,秒信号脉冲作为计数脉冲输入到CP1端,输出端C控制U3秒十位计数器的计数脉冲输入。
Q1、Q2、Q3、Q4作为秒个位的计时值送至秒个位七段显示译码。
U3作为秒十位六进制计数器,它的计数脉冲输入受到秒个位U4的控制,其计数器使能端CLK与U4的输出端C相连接。
当U3计数器计到0110,即清零信号到复位输入端时,Q1、Q2、Q3、Q4输出的都是零。
Q1、Q2、Q3、Q4作为秒十位的计时值送至秒十位七段显示译码。
U6、U5分别构成分个位十进制和分十位六进制计数器,如图2.4。
U5、U3与U6、U4的连接方法相似。
当计数器输出为01100000状态,U5、U6的RD端同时为“0”,使计数器立即返回到00000000状态。
这样就构成了六十进制计数器。
U5、U6共同构成时计数器,它由两个74LS160构成六十进制的计数器。
如图2.5,U10作为时十位计数器,它的复位输入RD、和置位输入LD都接低电平,时信号脉冲作为计数脉冲输入到CP1端,输出端C控制U9秒十位计数器的计数脉冲输入。
Q1、Q2、Q3、Q4作为秒个位的计时值送至秒个位七段显示译码。
当计数器输出为10000100状态,U9、U10的LD端同时为“0”,使计数器立即返回到00000001状态。
这样就构成了十二进制计数器。
5VCLK图2.3六十进制秒计数器图2.4六十进制分计数器进位输入图2.5十二进制时计数器表2.3 74LS160工作原理表2.4上下午指示灯原理上下午指示灯电路是由JK触发器组成的T触发器和指示灯组成,当触发器时钟端每进入一次脉冲时,其输出端状态就会发生一次变化,输出状态与原态相反,从而使指图2.6指示灯电路示灯状态来回变化。
工作电路如图2.6,触发器功能如表2. 4.表2.4 T 触发器功能表2.5直流稳压电源原理直流稳压电源电路的构成,是由220V ,50HZ 交流电电源、交流变压器、桥式整流电路、滤波、稳压几个部分组成。
220V 交流电经变压器变为9V 交流电,后经整流(全波整流)、滤波(0.33mF 电容)、稳压(W 7805)输出+5V 直流电。
工作原理图如图2.7。
47uF 500 Ω 1N4469100 Ω图2.7直流稳压电源工作原理图2.6555定时器设计部分2.6.1用555定时器构成的多谐振荡器原理2.6.2 秒信号输出部分图2.8秒信号发生原理图用555定时器做秒信号发生器,其原理如图2.8,555定时器产生1KHZ的信号,再经过三个分频器将1KHZ转换为1HZ秒信号。
这样做的原因,是因为直接由555定时器产生1HZ秒信号是不稳定的,受外界条件影响较大。
而产生较大频率时外界干扰较小,从而选择了分频这一电路。
2.7调时按钮设计调时按钮如图2.9,开关S1在高低电平之间来回拨动一次,产生一次时钟脉冲。
从而达到对电路的控制。
VCC图2.9调时按钮开关原理图第3章整体设计电路图方案3.1设计原理图图3.1从总的原理图来看如图3.1,电路电源是由稳压源电路输出提供的+5V直流电压。
由开关S1,S2控制时钟的校准。
当电路正常工作时,开关S1,S2接地,此时校时电路的由两个JK触发器构成的四进制计数器输出端输出为0,0,再经过二四译码器74LS139译码。
74LS139输出端Y0端输出为低电平,经反相器后变为高电平,此时时、分、秒的EP、ET端都为高电平,Y1、Y2、Y3都为高电平经反相器后变为低电平,由于Y1、Y2、Y3接在或门上,或门输出端为低电平。
此时,在S2端的高电平有效三态门不导通,而秒信号源、秒信号进位端和分信号进位端的低电平有效三态门正常导通,电路正常工作。
当开关S1在高低电平来回拨动一次时,此时校时电路的由两个JK触发器构成的四进制计数器输出端输出为0,1,再经过二四译码器74LS139译码。
74LS139输出端Y1端输出为低电平,经反相器后变为高电平,此时,时、分的EP、ET端都为低电平,不工作。
秒的EP、ET端都为高电平,Y0、Y2、Y3都为高电平经反相器后变为低电平,由于Y1、Y2、Y3接在或门上,或门输出端为高电平。