计时显示电路的设计与制作
- 格式:doc
- 大小:28.00 KB
- 文档页数:2
电子记分牌电路的设计与制作1.设计需求-显示比赛的得分、时间和其他相关信息-同时支持多种比赛项目-具有易操作、可靠性高的特点-可方便携带和安装2.硬件设计2.1控制器控制器是整个电子记分牌的核心部件,负责接收用户输入、处理数据并控制显示屏的显示。
可以选择使用单片机或者嵌入式系统作为控制器,具体的选择取决于需求的复杂程度和预算的限制。
2.2显示屏显示屏需要具有较高的分辨率和色彩还原能力,以确保信息的清晰可见。
可以选择使用LED或LCD显示屏,根据需求选择单色、双色或全彩显示屏。
2.3按键/触摸屏为了方便用户操作,可以在电子记分牌上设计一些按键和/或触摸屏。
按键可以用来调整得分和比赛时间,触摸屏还可以用来显示更多的比赛信息。
2.4通信接口为了方便与其他设备进行数据交互,电子记分牌需要设计一些通信接口。
常见的接口包括USB、RS232、以太网等。
3.软件设计3.1用户界面设计友好的用户界面,使用户可以轻松地调整比赛得分和时间,显示其他相关信息。
3.2数据处理根据用户输入的数据,进行相应的处理,例如计算比赛的总得分、显示比赛时间的倒计时等。
3.3多功能支持根据设计需求,支持多种比赛项目。
通过软件设置,可以轻松切换不同的比赛项目,并自动调整显示方式。
3.4数据交互通过通信接口与其他设备进行数据交互,例如与计时器、计分器等设备进行数据共享,并可以远程监控。
4.制作流程4.1准备材料和工具根据设计需求,准备所需的电子元器件、电路板、密封材料等,并准备好所需的工具。
4.2印制电路板(PCB)根据电路设计,将电路图转换为电路板(PCB)。
可以选择自行制作PCB或委托专业厂商进行制作。
4.3组装电路将原件按照电路图进行焊接、安装,并确保连接可靠、无误。
4.4安装显示屏及外壳根据设计需求,将显示屏安装在电路板上,并将整个电子记分牌固定在一个外壳中,以保护电路和显示屏。
4.5软件编程编写控制程序,根据软件设计需求进行相应的编码、调试和优化。
电子科技大学UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA数字逻辑设计实验报告实验题目:电子秒表学生姓名:指导老师:一、实验内容利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。
二、实验要求1、实现计时功能:域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。
2、两键控制与三次记录:1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。
系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。
其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。
三、设计思路1、整体设计思路先对按键进行去抖操作,以正确的得到按键信息。
同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。
因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。
在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。
2、分频设计该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。
分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。
这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。
篮球竞赛30s倒计时的设计1课程设计背景二十一世纪,人类迈入信息时代,电子科学技术在人们生活中的运用越来越普遍。
运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。
篮球作为一项全民健身项目,已有一定的历史。
在中国,篮球很盛行,篮球比赛也日趋职业化。
篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。
有需要就会有市场,因此设计一款30秒计时器是非常有必要也非常有前景的。
该款计时器是在原来的基础上把24秒制改为30秒制。
该计时器要有递减计时及报警功能。
因此符合比赛中违例判罚的需要。
在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。
本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。
一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。
本设计是74LS192芯片作为减数功能的30秒倒计时计数器。
该计数器主要包括555构成的震荡电路,74LS192模块,数码管等模块单元。
经测试,计数器可实现显示30秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动功能,发出光电报警信号。
该设计电路简单、使用方便,功能稳定多样,具有很强的实用价值。
2课题设计要求(1)具有显示30S的计时功能;(2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)计时器为30S递减计时器,其计时间隔为1S;(4)计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号3设计任务及目标(1)根据给出的电路原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的安装、调试,直到电路能达到规定的设计目标;(4)写出完整、详细的课程设计报告。
4课题设计框图5电路设计5.1设计原理分析设计任务,该系统包括秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路5个部分构成。
功能数字钟的电路设计数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。
钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。
因此,研究数字钟及扩大其应用,有着非常现实的意义。
1、设计目的1)掌握数字钟的设计、组装与调试方法。
2)熟悉集成电路的使用方法。
2、设计任务与要求1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
选做:1)闹钟功能,可按设定的时间闹时。
2)日历显示功能。
将时间的显示增加“年”、“月”、“日”。
3、数字钟的基本原理及电路设计一个具有计Array时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
数字钟的整机逻辑框图如下:图 1数字钟整机逻辑图振荡器方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
图 2 555与RC 组成的多谐振荡器图 分析:图中的C2为保护电容,其取值并没有什么要求。
在本设计中,我假设输出的脉冲的占空比为2/3,并且把555与RC 组成的多谐振荡,参考书本上的方案得出占空比3222121=++=R R R R q 故得到R1=R2。
又有电路的振荡周期T=T1+T2=(R1+2R2)Cln2得T=(R1+2R2)Cln2=103-S 。
我在实验中取电容为10nf 。
带入式中,可以得出R1=R2=48K Ω。
在这里取两个47K Ω电阻和滑动电阻2K Ω。
仿真结果如图所示,误差还是比较低的。
方案二:石英晶体振荡器。
石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。
数字电子钟逻辑电路设计一、简述数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟;数字电子钟的电路组成方框图如图所示;图数字电子钟框图由图可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制或十二进制计时计数器;秒、分、时的译码显示部分等;二、设计任务和要求用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:1.由晶振电路产生1Hz标准秒信号;2.秒、分为00~59六十进制计数器;3. 时为00~23二十四进制计数器;4. 周显示从1~日为七进制计数器;5. 可手动校时:能分别进行秒、分、时、日的校时;只要将开关置于手动位置,可分别对秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正;6. 整点报时;整点报时电路要求在每个整点前呜叫五次低音500Hz,整点时再呜叫一次高音1000Hz;三、可选用器材1. 通用实验底板2. 直流稳压电源3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路4. 晶振:32768 Hz5. 电容:100μF/16V 、22pF 、3~22pF 之间6. 电阻:200Ω、10K Ω、22M Ω7. 电位器:Ω或Ω8. 数显:共阴显示器LC5011-119. 开关:单次按键10. 三极管:805011. 喇叭:1 W /4,8Ω四、设计方案提示根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计;1. 秒脉冲发生器脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲;如晶振为32768 Hz,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示;74LS741Hz图 秒脉冲发生器2. 计数译码显示秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制;时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了;周为七进制数,按人们一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表所示;按表状态表不难设计出“日”计数器的电路日用数字8代替;所有计数器的译码显示均采用BCD—七段译码器,显示器采用共阴或共阳的显示器;表状态表3.校时电路在刚刚开机接通电源时,由于日、时、分、秒为任意值,所以,需要进行调整;置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入;4.整点报时电路当时计数器在每次计到整点前六秒时,需要报时,这可用译码电路来解决;即当分为59时,则秒在计数计到54时,输出一延时高电平去打开低音与门,使报时声按500Hz频率呜叫5声,直至秒计数器计到58时,结束这高电平脉冲;当秒计数到59时,则去驱动高音1KHz频率输出而鸣叫1声;五、参考电路数字电子钟逻辑电路参考图如图所示;图数字电子钟逻辑电路参考图六、参考电路简要说明1. 秒脉冲电路由晶振32768Hz经14分频器分频为2Hz,再经一次分频,即得1Hz标准秒脉冲,供时钟计数器用;2. 单次脉冲、连续脉冲这主要是供手动校时用;若开关K1打在单次端,要调整日、时、分、秒即可按单次脉冲进行校正;如K1在单次,K2在手动,则此时按动单次脉冲键,使周计数器从星期1到星期日计数;若开关K1处于连续端,则校正时,不需要按动单次脉冲,即可进行校正;单次、连续脉冲均由门电路构成;3. 秒、分、时、日计数器这一部分电路均使用中规模集成电路74LS161实现秒、分、时的计数,其中秒、分为六十进制,时为二十四进制;从图3中可以发现秒、分两组计数器完全相同;当计数到59时,再来一个脉冲变成00,然后再重新开始计数;图中利用“异步清零”反馈到/CR端,而实现个位十进制,十位六进制的功能;时计数器为二十四进制,当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,应该回到“零”;所以,这里必须使个位既能完成十进制计数,又能在高低位满足“23”这一数字后,时计数器清零,图中采用了十位的“2”和个位的“4”相与非后再清零;对于日计数器电路,它是由四个D触发器组成的也可以用JK触发器,其逻辑功能满足了表1,即当计数器计到6后,再来一个脉冲,用7的瞬态将Q4、Q3、Q2、Q1置数,即为“1000”,从而显示“日”8;4.译码、显示译码、显示很简单,采用共阴极LED数码管LC5011-11和译码器74LS248,当然也可用共阳数码管和译码器;5.整点报时当计数到整点的前6秒钟,此时应该准备报时;图3中,当分计到59分时,将分触发器QH置1,而等到秒计数到54秒时,将秒触发器QL置1,然后通过QL与QH相与后再和1s标准秒信号相与而去控制低音喇叭呜叫,直至59秒时,产生一个复位信号,使QL清0,停止低音呜叫,同时59秒信号的反相又和QH相与后去控制高音喇叭呜叫;当计到分、秒从59:59—00:00时,呜叫结束,完成整点报时;6.呜叫电路呜叫电路由高、低两种频率通过或门去驱动一个三极管,带动喇叭呜叫;1KHz和500Hz从晶振分频器近似获得;如图中CD4060分频器的输出端Q5和Q6;Q5输出频率为1024Hz,Q6输出频率为512Hz;。
论文摘要:本毕业论文通过电子秒表的设计,给出了以555定时器为核心,以分频、计数与译码显示模块为主要构成部分的电子秒表的设计方案。
系统具有随时启动、停止以及清零功能。
关键词: 555定时器分频计数译码艾力达引言随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。
人们对它的认识也逐步加深。
在秒表的设计上功能不断完善,在时间的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。
秒表的设计是由555芯片提供的,秒表时间由相关的电阻与电容的大小决定。
除了时间的设计精确外,秒表还在功能上有所改变,如实现倒计时。
电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合,如测定短时间间隔的仪表。
秒表有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面。
在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便。
充分利用定时器,能有效的加强我们的工作效率。
目前数字电子技术已经广泛地应用于计算机、自动控制、电子测量仪表、电视、雷达、通信等各个领域。
例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高、功能强,而且容易实现测量的自动化和智能化。
随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。
随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会,通过这种综合性训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。
本设计是基于数字电路和模拟电路的电子秒表的设计思路及实现方法。
24秒倒计时器的设计和制作一、计时器概述1、计时器的特点及应用24秒倒计时。
24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。
选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2、设计任务及要求1、用小规模集成电路设计24秒倒计时电路;2、用555定时器产生1Hz的标准脉冲信号;3、当计时器显示00,同时报警;4、计时器应具有清零、启动、暂停/继续计时等控制功能。
二、电路设计原理及单元模块1、设计原理24秒计时器的总体参考方案框图如图1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。
图1—方案框图其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由74LS48和共阴极七段LED显示器组成。
报警电路在实验中可用发光二极管和鸣蜂器代替。
2、设计方案此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。
在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。
此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
3、单元模块3.1各个元器件功能3.1.1、555定时器555 定时器的内部电路框图如图3-1-1所示。
图3-1-1 555内部电路框它内部包括两个电压比较器,三个等值串联电阻,一个RS 触发器,一个放电管T 及功率输出级。
南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。
② 了解各单元再次组合新单元的方法。
2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。
3.实验内容① 设计实现信号源的单元电路。
(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。
③ 设计实现快速校分单元电路。
含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。
④ 加入任意时刻复位单元电路(开关K2)。
⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。
二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。
电阻:1KΩ一只,3KΩ一只,150Ω四只。
电容:0.047uF 一只。
LED共阴双字屏二块。
1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。
图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
课程设计报告课程设计名称:三位数字显示计时定时器设计专业班级: 0212112****:**学号: ********* 同组人员:****:***课程设计时间: 2周目录1 设计任务、要求以及文献综述 (1)2 原理叙述和设计方案 (1)2.1 设计方案选择和论证 (1)2.2 电路的功能框图及其说明 (1)2.3 功能块及单元电路的设计、计算与说明 (2)2.4 总体电路原理图 (4)3 电路的仿真与调试 (4)3.1 电路仿真 (4)3.2 调试中出现的问题及解决方法 (5)4 制作与调试 (6)4.1元件清单、实物照片 (6)4.2制作与调试过程中遇到的问题及解决办法 (7)5心得体会 (8)6 参考文献 (8)附录 (8)三位数字显示计时定时器设计1 设计任务、要求以及文献综述3位数字显示计时定时器是一个典型的利用数字系统的例子。
所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。
一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。
设计要求如下:1、计时功能。
能任意启停,保持计时结果;2、开机自动复位;3、最大计时显示为9分59秒;4、定时报警。
2 原理叙述和设计方案2.1 设计方案选择和论证方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。
秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。
论证:方案二比方案一好。
理由一:方案二显示的最终结果比较直观。
理由二:方案二可更改性好,方便日后的改进。
2.2 电路的功能框图及其说明根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图2.1所示。
河南工业职业技术学院Henan Polytechnic Institute 课程设计说明书题目: LED倒计时显示控制班级:电气1002班姓名:***学号:*********指导教师: ***课程设计任务书一、设计题目:LED倒计时显示控制二、控制要求1.按下起动按钮绿灯亮,两个七段LED数码管显示数字45;每隔1秒LED显示的数字自动减1,直至减到0,然后转到要求2。
2.绿灯闪亮,周期为1s(亮0.5s,灭0.5s),绿灯闪亮3次后熄灭;两个七段LED数码管显示3,每隔1秒显示的数字自动减1,直至减到0,然后转到要求3;3.红灯亮,两个七段LED数码管显示数字30,每隔1秒显示的数字自动减1,直至减到0,然后转到要求1,如此循环,直到停止按钮被按下为止。
三、设计任务1.画出LED外形及段位与数字关系表。
2.画出PLC的I/O接线图。
3.画出梯形图。
4.说明工作原理。
5.编写10000字左右的设计说明书。
前言在没有实现数字化的时候,我们通常是采用手写或者是机械性的数字来进行倒计时,当今,PLC的发明,给我们带来了飞跃性的发展,让我们可以更好的实现数字化的控制,并且可以进行大规模的推广与应用。
随着社会的发展,倒计时在各行各业应用的越来越广泛,工业、技术、机械、医疗、农业等等,PLC 的智能控制原则是控制系统的核心,采用PLC把各种信息输入相应的程序,对于不同的要求,我们只要根据需要,修改一些程序,这样就可以实现我们想要的效果。
倒计时器的广泛应用,大大提高了我们的效率,对于一些需要通过手动或者是机械性的操作具有明显效果。
用可编程控制器实现倒计时的控制系统,以及该系统软、硬件设计方法,实验证明该系统实现简单、经济,有效,通过分析倒计时在控制与管理带来的方便,结合实际情况阐述了倒计时控制系统的工作原理,给出了一种简单实用的倒计时控制系统的PLC设计方案。
可编程序控制器在工业自动化中的地位极为重要,广泛的应用于各个行业。
时、分、秒计时器的设计一、实验目的掌握数码管动态显示的基本方法;掌握键盘按键控制的实现方法;根据已知电路和设计要求在实验板上实现时、分、秒计时器。
二、实验内容1、在STC89C52实验平台上实现时、分、秒时钟,4位数码管上显示分、秒或者时、分。
2、应用键盘控制时间的显示。
键盘按键控制“切换时分、分秒显示”、“启动停止”、“加秒显示内容”、“加分显示内容”、“加时显示内容”。
3、根据已知电路和设计要求在PROTEUS平台仿真实现时钟系统。
三、实验原理流程图如下所示:11、主程序流程图2、定时器/计数器T0中断服务程序流程图5 仿真分析在Proteus ISIS的80C51中载入程序生成的HEX文件,按开始符号运行,在数码管上观察程序运行结果,系统仿真结果如图5.1所示。
设计功能如下:(1)、初始状态:未按键之前,上电,数码显示00-00-00。
程序运行后,从秒针开始自动运行。
当秒数到59后,下一秒自动变为00,分针变为01,以此类推。
(2)、调整状态:仿真运行过程中,按p0键,系统暂停,此时,继续按p0无效。
第三次按p0,又开始,如此循环。
(3)、设置状态:按下p0暂停后,按p1,则时针加一,按下p2,则时针减一;按两下p0,在按下p1,则分针加一,按下p2,则分针减一。
时间显示格式为:时分秒;误差分析:实际程序到实验板中的电子钟显示存在一定的误差,误差来源可能为三个方面:第一,在程序运行过程中,时钟周期的不精确导致机器周期与理论值存在一定的差别;第二在中断一秒显示过程中,一些指令需要消耗一定的机器周期,使得一秒延时比实际要长;第三在键抖动的反应程度在运行中比较慢。
25.1实例仿真总结通过这次的课程设计我认识到我对单片机的知识学的太少了,对于书本上很多知识还不能灵活运用,都需要去巩固加强,我会在以后的学习中弥补我的不足。
我也了解了80C51集成环境和PROTEUS仿真软件的使用,用此软件练习电子时钟的设计,不仅使我熟悉了软件的使用方法,而且复习了单片机编程的相关知识。
成绩课程设计说明书题目:倒计时报警器电路设计课程名称:数字电子技术学院: 电子信息与电气工程学院学生姓名:学号:************专业班级:自动化2011级2班指导教师:**2013年6 月课程设计任务书倒计时报警电路设计摘要:设计制作了一个倒计时报警器控制电路,该电路倒计时的时间范围为1~99秒,并且倒计时时间可调;当倒计时时间结束时,电路发出光报警信号,报警时间长度为5秒,报警信号用红色LED表示。
控制电路主要由:555定时器、十进制可逆计数器74LS192、共阳极驱动器74LS47、共阳极数码管、四输入与非门74LS00、拨码开关等组成。
555定时器构成多谐振荡器,产生振荡周期为1秒的时钟信号;74LS192构成十进制计数器,并对时钟信号进行计数;74LS47可以将输入的BCD 代码译成共阳极数码管所需的驱动代码。
关键词:555定时器;计数器;译码器;数码管;电路仿真目录1.设计背景 (1)1.1 Multisim 10的定义及对PCB的认识 (1)1.2 了解数字电路系统和数字电路的定义和组成 (1)2. 设计方案 (1)2.1 任务分析 (1)2.2 方案论证 (2)3. 方案实施 (2)3.1 原理图设计 (2)3.2 仿真电路 (4)3.3 PCB板制作 (5)3.4 安装与调试 (5)4. 结果与结论 (6)5. 收获与致谢 (6)6. 参考文献 (7)7. 附件 (7)7.1 电路原理图 (7)7.2 PCB布线图 (8)7.3 实际电路图 (8)7.4 元器件清单 (9)1. 设计背景1.1 Multisim 10的定义及对PCB的认识Multisim是一款专门用于电子线路仿真与设计的END工具软件。
Multisim 10是一个完整的集成化设计环境,他将计算机仿真和虚拟仪器技术完美的结合在一起,为电子线路的仿真和设计提供了良好的环境。
PCB不仅仅是固定或装配各种电子零件的基板,更重要的是实现各种电子元器件的电气连接或电绝缘,提供电路要求的电气特性(特性阻抗等)。
数字计时电路设计摘要:数字计时电路的应用非常广泛,相比于传统的计时电路,它不仅走时准确,显示直观,而且不需要机械传动和频繁的调整。
鉴于它的广泛应用和诸多优点,本人采用了74LS系列中小规模集成芯片和RS触发器的校时电路设计了一个数字计时电路,它以12小时为周期,可以显示小时,分钟和秒,并具有小时,分钟校对功能。
本数字计时电路系统的整体设计是由振荡器,分频器,计数器,显示器和校时电路组成的,通过本论文设计,希望使其方法更系统,电路更简单。
关键词:数字计时电路;计数器;逻辑设计目录引言 (1)1 设计内容、方案及要求 (1)1.1 设计内容 (1)1.2 设计方案 (1)1.3 设计要求 (2)2 主体电路各单元的工作原理与设计 (2)2.1 振荡器 (2)2.1.1 振荡器的工作原理 (2)2.1.2 振荡器的设计 (3)2.2 分频器 (3)2.2.1 分频器的工作原理 (3)2.2.2 分频器的设计 (4)2.3 计数器 (4)2.3.1 60进制计数器工作原理 (4)2.3.2 12进制计数器工作原理 (4)2.4 译码与显示电路 (6)2.4.1 显示器的工作原理 (6)2.4.2 译码器的工作原理 (7)2.4.3 译码与显示电路的设计 (7)2.5 校时电路 (7)2.5.1 校时电路的工作原理 (7)2.5.2 校时电路的设计 (8)3 设计并绘制整机图 (9)总结 (10)致谢 (11)参考文献 (11)引言从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。
随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力。
一寸光阴一寸金,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。
如果遇到重要事情,一时的耽误甚至会酿成大祸。
而数字计时器的出现则减少了这些灾难的出现,并给人们生产生活带来了极大的方便。
比赛24秒倒计时电路的设计与制作比赛24秒倒计时电路的设计与制作中国工程物理研究院工学院赵应泽龙江一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。
其整机电路如图2所示,印制板电路如图3所示。
经过计算得到.f≈IHz,即1秒。
2.计数器计数器由两片74Lsl92同步十进制可逆计数器构成。
利用减计数利用预置数,实现计数器按8421码递减进行减计数。
利用借位输出端与下一级的cP。
连接,实现计数器之间的级联。
利用预置数端实现异步置数。
当R。
=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。
3.译码及显示电路本电路由译码驱动74Ls48和7段共阴数码管组成。
74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。
4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。
控制电路由Ic5组成。
Ic5B受计数器的控制。
Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。
(1)K1:启动按钮。
K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。
当K1闭合时,计数器开始计数。
(2)K2:手动复位按钮。
当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。
当松开K2时,计数器从24开始计数。
(3)K3:暂停按钮。
当"暂停/连续"开关处于"暂停"时,计数器暂停计数,显示器保持不变,当此开关处于"连续"开关,计数器继续累计计数。
5.报警电路当Ic5D输出为低电平时,发光二极管D发光,同时蜂鸣器发出报警。
长沙学院数电课程设计说明书题目篮球比赛24秒倒计时显示电路设计系(部)专业(班级)姓名学号指导教师起止日期数字电子技术课程设计任务书(12)系(部):专业:指导教师:课题名称篮球比赛24秒倒计时显示电路设计设计内容及要求基本任务:1.十进制显示“秒”。
2.具有手动启动和复位功能,能实现连续计时,暂停和报警功能。
扩展任务:1.能将24秒倒计时功能转换成秒表功能。
2.能精确到秒后一位。
设计工作量1、系统整体设计;2、系统设计及仿真;3、在Multisim或同类型电路设计软件中进行仿真并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,参考文献、设计总结等。
进度安排起止日期(或时间量)设计内容(或预期目标)备注第一天课题介绍,答疑,收集材料第二天设计方案论证第三天进行具体设计第四天进行具体设计第五天编写设计说明书教研室意见年月日系(部)主管领导意见年月日长沙学院课程设计鉴定表姓名学号专业班级设计题目指导教师指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录前言 (4)一、设计任务 (5)二、设计框图 (5)三、设计的主要元器件选择及其构成电路工作原理 (6)1,NE555芯片 (6)2,计时器74LS192芯片 (6)3,控制电路的选择 (7)4,LED、蜂鸣器组成的声光报警电路 (7)四、设计总图及电路仿真 (8)1,总设计图(仿真图) (8)2,设计工作原理 (8)3,仿真结果 (9)五、设计总结 (10)六、心得体会 (11)七、参考文献 (11)前言篮球比赛倒计时24秒电路设计,本设计是脉冲数字电路的简单应用,此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。
此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。
24秒倒计时电路的简单制作本设计采用555作为振荡电路,由74LSl92、74LS48和七段共阴LED数码管构成计时电路,具有计时器直接复位、启动、暂停、连续计时和报警功能。
该电路制作、调试简单,采用普通器件,一装即成。
一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见右图。
其整机电路如下图所示,印制板电路如左图所示。
1.秒脉冲发生器秒脉冲产生电路由555定时嚣和外接元件R1、R2、C构成多谐振荡器。
输出脉冲的频率为:经过计算得到f≈1Hz即1秒。
2.计数器计数器由两片74LS192同步十进制可逆计数器构成。
利用减计数Rd=0,反向=0,CPd=1,实现计数器按8421码递减进行减计数。
利用借位输出端反向BO与下一级的CPd连接,实现计数器之间的级联。
利用预置数反向LD端实现异步置数。
当Rd=0,且反向LD=0时,不管CPu和CPd时钟输入端的状态如何,将使计数器的输出等于并行输人数据,即Q3Q2Q1Q0=D3D2D1D0。
3.译码及显示电路本电路由译码驱动74LS48和7段共阴数码管组成。
74LS48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。
4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。
控制电路由IC5组成。
IC5B受计数器的控制。
IC5C、IC5D组成RS触发器,实现计数器的复位、计数和保持“24”、以及声、光报警的功能。
(1)K1:启动按钮。
K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持“24”状态不变,处于等待状态。
当K1闭合时,计数器开始计数。
(2)K2:手动复位按钮。
当接下K2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即“24”。
当松开K2时,计数器从24开始计数。
(3)K3:暂停按钮。
当“暂停/连续”开关处于“暂停”时,计数器暂停计数,显示器保持不变,当此开关处于“连续”开关,计数器继续累计计数。
陕西理工学院课程设计报告课程:电子技术综合课程设计题目:数显、声响倒计时电路设计院系:班级:学号:姓名:指导老师:组别:任务书一、制作数显声响倒计时电路二、任务及要求:设计并制作一个数显、声响式倒计时电路。
要求如下:1、电路具有10~99秒可预置定时功能。
2、有两个数码管显示计时时间,用一只LED指示计时开始与结束。
按预置/开始按钮,数码管显示定时时间,LED不亮;再按预置/开始按钮,LED亮,倒计时开始。
3、倒计时结束时,计数器停止计数,LED不亮。
4、电路具有开机预置数功能。
5、电路具有最后三秒报时功能,要求响半秒、停半秒,共三次。
用压控陶瓷蜂鸣器作为电声元件。
6、自制本电路所用的直流电源和一秒信号源。
二、参考资料:1.《数字电子技术实验指导书》实验一,实验三,实验四,实验六以及实验七的相关内容。
2.《模字电子技术基础》课本3.《数字电子技术基础》课本4.上百度网站查阅相关芯片的工作情况,引脚图和功能表。
1.相关设计方案及抉择 (4)1.1方案一 (4)1.2方案二 (5)2.理论设计--单元电路与总电路设计6 2.1 5V电压源电路设计 (6)2.2 1s信号源设计: (7)2.3 计数器电路设计: (9)2.3.174ls190管脚图及功能简介92.3.2 电路连接概述 (10)2.4 显示电路 (11)2.5报警电路 (13)2.6 控制电路 (14)3.仿真调试 (15)3.1 软件介绍 (15)3.2 调试过程 (15)3.2.1 倒计时及停止电路调试.. 15 4.实验中出现的问题及解决方法.. 16 5.小结 (18)6.附录 (19)6.1 总体电路图 (19)6.2 元器件清单 (19)6.3 器件管脚图 (20)1.相关设计方案及抉择1.1方案一如图1.1信号由555定时器产生频率为1HZ ,占空比1/2的信号,由190构成 15进制计数器,由JK 触发器控制190和发光二极管的工作状态,由三态门控制停止电路和声控电路。
实验二60秒倒计时电路设计的实验报告一、实验目的1.进一步熟悉Quartus II混合层次化设计方法。
2.学习7段数码管的驱动设计方法。
二、实验内容60秒倒计时电路如图1所示。
其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。
模块SCNA_LED完成BCD码到7段数码管显示译码功能。
图1 60秒倒计时电路图2 60秒倒计时底层电路60倒计数模块cnt_d60底层电路如图2所示。
主要由2片74192(双向十进制计数器)构成。
模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。
要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。
完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。
引脚锁定参考表1内容。
注意:应把未分配管脚置为三态输入,切记!!表1 实验连线1.原理图设计输入(1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。
(2)选择路径。
选择File/New Project Wizard。
添写后以后,单击“NEXT”进入下一步。
(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。
(4)选择FPGA器件。
Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。
(5)选择外部综合器、仿真器和时序分析器。
设置好后,单击“NEXT”进入下一步。
(6)结束设置。
“工程设置统计”窗口,列出了工程的相关设置情况。
最后单击“Finish”,结束工程设置。
第一章系统概述1.1项目的研究内容及要求1.1.1项目的研究内容:五秒倒计时报警电路1.1.2项目的研究要求:要求设计一个五秒倒计时提醒电路,具体要求:每次报警灯亮0.5秒,停顿0.5秒。
1.2项目的研究目的及范围1.2.1项目的研究目的:实现以上的实验要求,让灯泡亮0.5秒,停顿0.5秒。
1.2.2项目的研究范围:在EW酥境下用所给的逻辑元件来实现实验要求。
1.3研究的实用价值和理论意义:随着社会科学技术的迅速发展,人们对报警器的性能提出了越来越高的要求。
传统的报警器通常采用触摸式、开关报警器等。
这类报警器具有性能稳定、实用性强等特点,但是也具有应用范围窄等缺点。
而且安全性能也不是很好。
光电报警就很好的改善了这点。
如今,光电报警器已经广泛应用到工农业生产、自动化仪表、医疗电子设备等领域本实验的设计借助于模拟电路和数字逻辑电路,采用模块化的设计思想,使设计变得简单、方便、灵活性强。
电路简单容易实现,工作稳定,因此得到了广泛的应用。
数字电子技术是当前发展最快的学科之一,数字逻辑器件已从60年代的小规模集成电路(SSI)发展到目前的中、大规模集成电路(MSI、LSI )及超大规模集成电(VLSI)。
相应地,数字逻辑电路的设计方法在不断地演变和发展,由原来的单一的硬件逻辑设计发展成三个分支,即硬件逻辑设计(中、小规模集成器件)、软件逻辑设计(软件组装的LSI和VSI,如微处理器、单片机等)及兼有二者优点的专用集成电路(ASIC)设计。
报警器采用中,小规模集成器件SGZ07,IC555,QM-25,等元器件设计而成.适用于煤气,天然器,汽油等各种烟雾的报警,并且结合了的温控报警器的,可以监控温度的高低,第一时间给工作人员或维修人员予以警告,使其可以根据实际情况给以相应的措施,保障人生财产安全。
第二章实验原理2.1要解决的问题(1)用555定时器来提供稳定频率的电信号(2)用12进制计数器来实现倒计时计数2.2实验依据和实验设备2.2.1实验依据:74169是12进制可顺序、也可倒序计数,而且巧妙的是它的前三位正好可以实现5秒倒计时,后一位正好可以实现0.5秒的闪烁,0.5秒的停息。
计时显示电路的设计与制作
训练内容与要求:
背景描述:
数字钟是一种常用的电子产品,包括计时电路、显示电路、自动报时电路、校时电路、脉冲信号产生电路等。
本项目将用计数器、译码器、数码管、门电路等元器件设计和制作一个计时、显示电路,作为数字钟的计时、显示部分。
技术指标:
用数码管作为显示器,显示“秒”个位、十位、“分”个位、十位、“时”个位、十位,12小时循环一次。
内容要点:
(1)设计计时、显示电路,画出电路逻辑图。
(2)计时部分用计数器(74160)实现,分别有秒计数、分计数和小时计数,12小时一循环;译码显示用七段显示译码器(74247)和数码管实现,能够显示秒个位、十位、分个位、十位、时个位、十位。
(3)查阅电子元器件手册,确定74160、74247、数码管、门电路的功能及管脚图。
(4)按照电路图在面包板上正确搭接电路。
(5)调试电路,达到技术指标要求。
(6)答辩,正确回答问题,针对自己搭接的电路提出改进意见。
(7)写出完整的项目设计报告。
注意事项:
(1)连接电路时,注意正确识别集成电路及其管脚管脚,接好电源和地。
(2)注意电源线和地线不要短路。
(3)注意安全用电
考核要求:
(1)正确识别和检测各个集成电路,说明其功能。
(2)能够根据要求设计电路,画出逻辑图。
(3)能够按照电路原理图搭接实用电路
要求在给定的时间内
a.选择正确的元器件
b.在面包板上正确搭接电路,要求布局合理,美观
c.测试、调试电路
(4)完成项目报告
报告字数不限,手写或打印均可,但要求统一用A4纸,并注明页码装订成册。
a. 制作项目报告封面。
b. 工作计划
c.项目背景和要求
d.要达到的能力目标
e.电路设计过程,画电路图,简单分析电路的工作原理,说明电路各部分的作用。
f.列出所用仪器清单,记录制作过程。
g.电路的调试过程。
h.电路制作、调试结果(实际制作的电路的技术指标)。
i.分析制作和调试过程中出现的问题及解决情况。
j.收获及体会。
(5)答辩中回答问题正确。