数电课设-数字式闹钟
- 格式:doc
- 大小:2.10 MB
- 文档页数:20
数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。
2、具有手动校时、校分、校秒的功能。
3、有定时和闹钟功能,能够在设定的时间发出闹铃声。
4、能进行整点报时。
从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。
、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。
2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。
3、有三个按钮分别调时、分、秒的时间。
4、有一个按钮用作开启/关闭闹铃。
5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。
二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。
各按键输出为脉冲信号。
、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。
该模块能将当前计数值实时按BCD码的格式输出。
将该输出接到两位LED数码后能时时显示秒的状态。
通过alarm_clk可以选择设置对象为时间还是定时值。
在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。
技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。
课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。
教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。
通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。
二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。
数电课设--数字钟的设计摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时设计一个简单的时间调整系统来实现对数字钟的时间调整。
本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。
关键词:数字钟、计数器、时间调整系统一、引言数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变了人们观念上的关于时间知识的变革。
本课设就是要通过设计一个数字钟,来综合应用我们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。
二、数字钟的设计原理数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不断进行计数。
数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单位有小时、分钟和秒。
三、数字钟的设计方案数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时间调整系统的设计。
下面分别进行介绍。
(一)计数器及定时器的设计计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。
其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。
计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。
时间调整功能通常是通过8255接口芯片实现。
(二)时间调整系统的设计时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。
四、数字钟的实现数字钟的实现可以参考实验教材进行,实现前需要明确以下几点:1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。
2. 设计好数字钟的原理图,并选择适合的元件进行接线。
3. 进行电路调试和测试,对电路进行稳定性测试等。
《电子技术》课程设计报告《数字钟的设计》专业:班级:姓名:学号:指导教师:完成日期:年月日第页共页设计任务书一、设计题目:“数字钟的设计”二、技术要求:1.设计一台能直接显示“时”、“分”、“秒”的数字钟,要求24小时为一计时周期。
2.当电路发生走时误差时,要求电路具有校时功能。
三、。
基本工作原理:数字钟是一个将“时”、“分”、“秒”显示的计时装置。
它的计时周期为24小时,显示满时刻为23时59分59秒,另外应有校时功能。
整个电路采用异步清零的方法来进行计数及清零,使得秒显示及分钟显示以60为一周期,时显示以24为一周期。
校时电路由RS触发器构成,选择1HZ脉冲进行校时。
四、元件清单;1、74160 6片2、74LS003、74LS084、74LS045、74LS326、555定时器7、电阻10kΩ8、电容0.01μF 47μF9、电源,双掷开关及导线若干五、各部分组成及结构:1、振荡电路1HZ脉冲输出2、分钟计时器分进位信号秒进位/校时信号采用异步清零,分钟的十位变成6时,被立即清零。
3、时计时器分进位/校时信号采用异步清零,当时计数器计数到24时被清零,而24这个状态不显示。
4、校时电路分进位/校时信号秒进位/校时信号1HZ脉冲秒进位信号分进位信号1HZ脉冲时校时电路分钟校时电路如图所示状态时,校时电路不工作。
当分钟校准时,开关2被掷到另一端;时校准时,开关3被掷到另一端。
当数字钟仅仅只有分钟走误时,分钟的校准不会向时显示进位。
在这个过程中,利用一个或门将分钟可能产生的进位信号屏蔽掉,以达到仅仅分钟校准的目的。
六、实验内容:画出整机电路图连接电路并进行调试。
七、体会:实际设计、调试和理论有很大的差异,简简单单的理论应用到实践的过程中会遇到各种各样的困难。
例如,刚设计的时候没有进位信号,十位的变化比各位慢一个或半个脉冲等等。
通过看书上的知识,慢慢完善电路,以达到准确计时的目的。
另外,电路连线多,连线时应细心、耐心。
数电数字钟课程设计一、课程目标知识目标:1. 理解数字时钟的基本原理,掌握数字电路基础知识;2. 学会使用集成门电路设计简单的数字电路,并能正确读取数字时钟电路图;3. 掌握数字时钟各模块(如秒脉冲发生器、计数器、译码器等)的功能及相互关系。
技能目标:1. 能够运用所学知识,设计并搭建一个简易的数电数字钟;2. 培养学生动手实践能力,学会使用相关仪器、工具进行电路连接和调试;3. 提高学生的问题分析和解决能力,能够针对数字时钟故障进行排查和修复。
情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养创新意识和团队合作精神;2. 培养学生严谨、细心的学习态度,养成良好的学习习惯;3. 增强学生对科技发展的关注,认识数字电路在实际应用中的价值。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生在掌握数字电路基础知识的基础上,通过实际操作和设计,提高实践能力和创新意识,培养团队合作精神。
课程目标具体、可衡量,便于教师进行教学设计和评估。
在此基础上,将目标分解为具体的学习成果,为后续教学提供明确的方向。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念和工作原理。
2. 数字时钟原理:介绍数字时钟的构成、工作原理及各模块功能,如秒脉冲发生器、分频器、计数器、译码器等。
3. 教学案例:选用教材中相关的数字时钟案例,分析其电路原理和设计方法。
- 章节关联:第三章“组合逻辑电路”和第四章“时序逻辑电路”- 列举内容:3.2节“集成门电路”、4.3节“触发器”和4.4节“计数器”4. 实践操作:指导学生使用面包板、集成块等工具,搭建一个简易的数电数字钟。
- 进度安排:实践操作分为两个阶段,第一阶段为电路设计和搭建,第二阶段为电路调试和优化。
5. 故障排查与修复:教授学生针对数字时钟常见故障进行分析和解决的方法。
6. 课后拓展:引导学生关注数字电路在实际应用中的新技术和新发展。
教学内容根据课程目标进行选择和组织,确保科学性和系统性。
课程设计任务书学生姓名: XXX 专业班级:指导教师:工作单位:题目: 多功能数字钟电路设计初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。
要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟电路设计摘要 (1)Abstract (2)1系统原理框图 (3)2方案设计与论证 (4)2.1时间脉冲产生电路 (4)2.2分频器电路 (6)2.3时间计数器电路 (7)2.4译码驱动及显示单元电路 (8)2.5校时电路 (8)2.6报时电路 (10)3单元电路的设计 (12)3.1时间脉冲产生电路的设计 (12)3.2计数电路的设计 (12)3.2.1 60进制计数器的设计 (12)3.2.2 24进制计数器的设计 (13)3.3译码及驱动显示电路 (14)3.4 校时电路的设计 (14)3.5 报时电路 (15)3.6电路总图 (17)4仿真结果及分析........................................... 错误!未定义书签。
4.1时钟结果仿真....................................... 错误!未定义书签。
4.2 秒钟个位时序图..................................... 错误!未定义书签。
数字钟数字电子课程设计一、课程目标知识目标:1. 理解数字钟的基本原理,掌握数字电子技术的基本概念。
2. 学会使用集成电路芯片,了解其功能及在数字钟中的应用。
3. 掌握数字钟各模块(如秒表、时钟、闹钟等)的工作原理及其相互关系。
技能目标:1. 能够运用所学知识设计简单的数字钟电路,具备实际操作能力。
2. 学会使用相关软件(如Multisim、Proteus等)进行电路仿真,提高实践技能。
3. 培养团队协作能力,学会与他人共同分析问题、解决问题。
情感态度价值观目标:1. 培养学生对数字电子技术的兴趣,激发学习热情,提高自主学习能力。
2. 培养学生严谨的科学态度,注重实践与理论相结合,养成良好的学习习惯。
3. 增强学生的环保意识,注重电子废弃物的合理处理,培养社会责任感。
本课程针对高年级学生,在已有电子技术知识的基础上,进一步深化对数字电子技术的理解。
课程性质为实践性、综合性,要求学生具备一定的理论基础和动手能力。
通过本课程的学习,旨在使学生在理论知识和实践技能上得到全面提升,培养具备创新精神和合作意识的高素质技术人才。
二、教学内容1. 数字电子技术基本原理回顾:逻辑门电路、触发器、计数器等。
2. 集成电路芯片介绍:集成电路的分类、功能及其在数字钟中的应用。
- 侧重于时钟芯片、计数器芯片、显示驱动芯片等。
3. 数字钟工作原理及模块设计:- 秒表模块:基于计时器/计数器的秒表设计。
- 时钟模块:时钟信号的产生、时序控制及时间调整。
- 闹钟模块:闹钟功能的设计与实现。
4. 数字钟电路设计与仿真:- 使用Multisim、Proteus等软件进行电路设计、仿真及调试。
- 熟悉电路图绘制、仿真分析及报告撰写。
5. 实际操作与制作:- 采购元器件、焊接组装数字钟电路板。
- 调试电路、测试功能、解决实际问题。
6. 教学内容的安排与进度:- 原理回顾与芯片介绍(2课时)。
- 数字钟模块设计(4课时)。
- 电路设计与仿真(4课时)。
目录摘要 (I)1 数字钟的构成 (1)2 数字钟单元电路的设计 (3)2.1 振荡器电路设计 (3)2.2 时间计数单元设计 (3)2.2.1 集成异步计数器74LS90. (3)2.2.2 用74LS90构成秒和分计数器电路 (5)2.2.3 用74LS90构成时计数器电路 (6)2.2.4 时间计数单元总电路 (6)2.3 译码显示单元电路设计 (7)2.3.1 译码器74LS48 (7)2.3.2 显示器LG5011AH (9)2.3.3 译码显示电路 (10)2.4 校时单元电路设计 (11)3 数字钟的实现电路及其工作原理 (12)4 电路的安装与调试 (13)5 课程设计心得体会 (14)参考文献 (15)附录1 (16)摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和24进制计时计数器,秒、分、时的译码显示部分及校正电路等。
采用74LS系列(双列直插式)中小规模集成芯片进行硬件的焊接。
关键词:数字钟振荡器计数器译码驱动1 数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。
秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。
计数器的输出分别经译码器送显示器显示。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,当计时出现误差时,可以用校时电路校时、校分。
数电课设-数字式闹钟课程设计任务书数字式闹钟第一部分设计任务1.1设计任务(1) 时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。
(2) 具有快速校准时、分、秒的功能。
(3) 能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。
1.2设计指标(1).有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。
时十位显示时个位显示分十位显示分个位显示秒闪烁显示(2). 计时以24小时为周期。
(23:59→00:00)(3).具有较时电路,可进行分、时较对。
(4).走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。
第二部分设计方案2.1总体设计方案说明系统组成:显示电路:译码器数码管秒信号发生器:由LM555构成多谐振荡器走时电路:计数器和与非门组成校时电路:秒信号调节闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成2.2模块结构与方框图1.秒钟与分钟显示电路用两片74290组成60进制计数器,输入计数脉冲CP加在CLKA’端,把QA与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数,个位接成十进制形式,十位接成六进制形式,当R0(1)=RO(2)=1且R9(1)*R9(2)=0时74290的输出被直接置0,当R0(1)*RO(2)=0和R9(1)*R9(2)=0时开始计数。
电路图如下:连接成总电路时,分钟的输入信号由秒钟计数器提供。
时设置的个位为十进制,十位为三进制,当十位为2时,通过反馈控制端,个位不能大于等于4,即小时十位为2时,个位加到4时十位和个位马上全部置0,从而让小时的设置只能最大设为23。
当十位不是2时,个位则加到9时再加一位则置0,如图示:闹钟部分时,将小时显示计数器、分钟显示计数器的8个输出端,闹钟时设置、闹钟分设置的8个输出端引出,用4个4077门进行比较,然后将4个4077门的8个输出端用2个7421进行与运算,将2个7421的输入输出端用3个与门进行与运算后输出到闹钟发声器。
就完成了闹钟功能。
当与时间显示计数器相连的显示器与与时间设置计数器相连的显示器显示的数字相同时,即相达闹钟条件,这时4个4077门的所有输入端都为1,经过二次与运算后输入到发声器的信号也为1,即闹钟开始,否则输入到发声器的信号为0,闹钟不响。
闹钟会一直响,直到两个地方的时间不一样为止,也就是响一分钟。
具体电路看总电路。
四、总电路工作原理及元器件清单1.总原理图CR R T T T PL PH )2(7.021+=+=时显示分显示时译码分译码时计时分计时较准起闹秒计时秒信号秒显示单稳态电路闹铃第三部分 电路设计与器件选择3.1秒信号发生器3.1.1模块电路及参数计算(1)LM555构成振荡器相关参数计算。
CR T C R R T PL PH 2217.0)(7.0=+=z 11H Tf==3.1.2工作原理和功能说明(1)采用LM555构成多谐振荡器,调整电阻可改变频率,使之产生1Hz 的脉冲信号(即T=1S ) (2)555内部结构图及各部分功能。
所>555定时器的功能表R D TH TR U O T 10001100导通导通截止保持3/2CC V 3/CC V 3/2CC V 3/2CC V 3/CC V 3/CC V <><保持1><TH 5+–A 1+–A 2RSQQTR COR D TV CC U O6432178DRRR3.1.3器件说明 (1)LM555管脚图和功能表3.2走时电路设计(时、分、秒) 3.2.1模块电路及参数计算走时电路包括秒计时器、分计时器、时计时a 、分压器为比较器提供基准电压,A1的基准电压为 2/3V ,A2的基准电压为1/3V 。
b 、阈值端(TH )和触发端(TR )的外加输入信号和两个基准电压比较,当TH> 2/3V 时,器,每一部分由两片计数器级联构成。
模块电路如下。
3.2.2工作原理和功能说明(1)秒计时器秒计数器由十进制与六进制级联而成,两片74LS163 和一片74LS20与非门实现。
模60分成个位和十位,个位模10,十位模6。
个位从0000计数到1001,利用清零端将个位从0000重新开始计数,同时将1001信号作为一个CP脉冲信号传给十位,让十位开始从0000开始计数。
以此规律开始计数,直到十位计数到5,个位计数到9时,通过十位的清零端将十位清零,重新开始计数,并将此信号作为一个CP脉冲信号传给分计数器。
(2)分计时器同秒计时器。
(3)时计时器时计数器是模24计数器,电路计数显示00~23,由两片74LS160和一片74LS00与非门实现。
个位由74LS160制成模10计数器,十位由另一片74LS160制成模为3的计数器.将个位芯片的Q1信号与十位芯片的Q2信号提取出通过与非门,将信号分别给十位和个位的清零端,计数到23时两片芯片同时清零。
个位的进位端与高电位与非后把信号作为CP脉冲信号传给十位CP端。
(4)译码显示电路用译码器74LS48对计数结果进行译码,译码后在共阴极数码管上显示。
3.2.3器件说明(1)74LS163结构图和功能表(2)74LS160结构图和功能表3.3时间校对电路3.3.1工作原理和功能说明将所需要校对的时或分计数电路的脉冲输入端切换到秒信号,使用快脉冲计数,到达标准时间后再切换回正确的输入信号。
3.4闹钟电路设计3.4.1模块电路及参数计算(1)闹钟设计模块电路如下图(2)74LS123控制报时时间长短相关参数计算。
取RT=51K,CT=220uFTW= 0.283.4.2工作原理和功能说明(1)使用1片74LS138,1片74LS42分别将小时的十位和个位的进行译码,小时十位为0~2,3-8译码器只使用前2个输入端,小时个位为0~9,4-10译码器只使用前3个输入端。
(2)设定起闹点,将十位和个位相关输出分别与高电位经过与非(如设定起闹点为11点,将74LS138的输出低二位与高电位与非,将74LS42的输出地低二位与高电位与非)的结果再与非,最后将信号传给74LS123.(3)用74LS123构成单稳态触发器,控制起闹时间的长短。
74LS123 内部包括两个独立的单稳态电路。
单稳输出脉冲的宽度,主要由外接的定时电阻( RT )和定时电容( CT )决定。
单稳的翻转时刻决定于A、B、CLR 三个输入信号。
3.4.3器件说明(1)74LS138管脚图和功能表(2)74LS42管脚图和功能表(3)74LS123的管脚图和功能表4.1整机电路图4.2元件清单电阻:1KΩ(DP) 1只 1.5K Ω1只2.4KΩ1只51K Ω1只300Ω4只电容:220uf 2只0.01uf 1只芯片:74LS163 4片74LS160 2片74LS48 4片4LS123 1片74LS138 2片74LS42 1片74LS00 3片LM555 1片74LS20 1片蜂鸣器:1只共阴极数码管:4只导线:7种颜色各一米。
第五部分安装调试与性能测量5.1安装电路电路安装要求(1)芯片布局要合理,凹槽朝统一方向,以免电源与地线接反(2)导线颜色使用要规范,5V电源线使用红色导线连接;地线使用黑色导线;其它信号线使用除红黑颜色以外的导线。
(3)导线要横平竖直紧贴面包板,不要从集成块上跳线,要连接可靠(4)线路连接时要按信号的流向逐级连接,交叉线尽可能少。
5.2电路调试5.2.1调试步骤及结果采用逐级调试的方法(1)确保秒信号正常(2)调试秒计数器(3)调试分计数器,可将秒信号作为分计数器的CP脉冲(4)调试小时计数器,可将秒信号作为小时计数器的CP脉冲(5)调试闹钟电路5.2.2故障分析及处理(1)将秒信号接入示波器,与标准信号对比,出现误差,但在允许范围之内。
(2)秒信号接入脉冲后发现不向分进位,经过再一次排查检查电路发现秒时钟的各位未向十位输入脉冲。
(3)插上电源后发现分信号的信号紊乱,从乱码起跳,而且十位不清零。
于是我们对分的模六十进行单脉冲检测,在检查分信号个位(模十计数器)时发现,从乱码起跳,后就为0~9正常,起初以为是新片坏了,换了芯片后还是从乱码起跳,后进行接线检查等,还是查不出原因,最后我们从新审查电路的设计和连接,发现输出进行与非的信号高低位接反,改正后个位跳砖正常。
而后对分信号的十位进行检查。
发现输入脉冲虚接。
(4)刚接通电源时,小时计数器十位显示7,后给予清零信号后显示恢复正常。
将秒脉冲接到小时计数器的个位CP端,在进位时发现23:00时不能同时清零。
对模二十四的设计进行分析发现接线错误,后经过改正清零正常。
(5)在检测电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失。
用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,排查导线把接触不好的线重新接过后发现能正常显示了。
仿真调试与分析首先按space,则电路开始计数,数码管显示时间。
当要调时、分、秒,则按0进入校准时间,然后由数字1、2、3分别调整时间秒、分、时。
再按0进入计时,总之,0键就是在校准与计时之间切换的功能键。
开始时计时:按0进入校准:再按0进入计时:按space切换至显示闹钟起闹时间,起闹时间秒不计,所以恒为FF。
按4、5调整闹钟起闹时间的时、分此时起闹的时间为1点03分。
按space切换至计时状态,此时时间如果是1点03分的话,闹铃响一分钟后熄灭,此时可以按下开关T打开或关闭闹铃当时间来到分钟为59,秒钟为51的时候,在52、 54、 56、 58 闹铃个响一次,此时接入的是500HZ的脉冲。
在00时再响一次,此时接入的是1000HZ的脉冲。
可以按下开关W打开或关闭整点报时功能。
第六部分课程设计总结做集成数字式闹钟这个实验,跟我同组的包括我在内共有三个同学,对于设计任务,我们进行了一些详细的分工合作。
首先是收集资料,我们上网页搜索,去了好几次图书馆,在闲暇的时候我们也在讨论这个问题该怎样解决……就这样我们描绘出了大致的设计思路,进而画出了我们需要的设计原理图。
通过本次的课程设计,觉得自己也锻炼到了不少的经验:首先,资料查找是一个至关重要的问题。
在这次设计过程中,觉得最开始的突破口就是从资料入手,不然真会觉得束手无策的。
通过查找相关书籍,不但可以从中提取一些重要的资料,还让我们学到了如何将学过的知识,更好的有机组合起来运用到实践之中,体会到了学习的乐趣。
其次,细节决定成败。
在我们有了有了大概的原理图以后,我们的设计思路也就基本确定了,但是在实际操作的过程中,用仿真软件仿真的时候,总会出现许多不可预知的问题,经过仔细、反复的查看电路的连接之后,才发现其中一些接线是由于粗心,出现了接线端的错误,虽然只是一些小小的失误,但是却影响了整个电路。