集成触发器功能测试及转换报告深圳大学
- 格式:doc
- 大小:154.00 KB
- 文档页数:8
触发器功能实验报告触发器功能实验报告引言:触发器是数字电路中常见的重要元件,它能够在特定的输入条件下产生稳定的输出信号。
本实验旨在通过构建不同类型的触发器电路,探究触发器的基本原理和功能。
实验一:RS触发器RS触发器是最简单的一种触发器,由两个交叉连接的非门组成。
实验中我们使用了两个与非门来构建RS触发器电路,其中一个与非门的输出连接到另一个与非门的输入,反之亦然。
通过设置不同的输入状态,我们可以观察到RS触发器的两种稳定状态:置位和复位。
实验二:D触发器D触发器是一种常用的触发器,它具有单一输入和双输出。
实验中我们使用了两个与非门和一个或非门来构建D触发器电路。
通过输入信号的变化,我们可以观察到D触发器的工作原理:当输入信号为高电平时,输出保持之前的状态,当输入信号为低电平时,输出根据之前的状态进行切换。
实验三:JK触发器JK触发器是一种多功能的触发器,它具有两个输入和两个输出。
实验中我们使用了两个与非门和一个或非门来构建JK触发器电路。
通过设置不同的输入状态,我们可以观察到JK触发器的四种工作模式:置位、复位、切换和禁用。
实验四:T触发器T触发器是一种特殊的JK触发器,它只有一个输入和两个输出。
实验中我们使用了两个与非门和一个或非门来构建T触发器电路。
通过输入信号的变化,我们可以观察到T触发器的工作原理:当输入信号为高电平时,输出状态翻转,当输入信号为低电平时,输出保持不变。
实验五:应用实例在实验的最后,我们通过一个简单的应用实例来展示触发器的实际应用。
我们构建了一个二进制计数器电路,使用了多个D触发器和与非门。
通过输入脉冲信号,我们可以观察到计数器的工作原理:每次接收到脉冲信号,计数器的输出状态按照二进制规律进行变化。
结论:通过本次实验,我们深入了解了不同类型的触发器的功能和工作原理。
触发器在数字电路中具有重要的应用价值,能够实现各种逻辑功能和时序控制。
进一步的研究和实践将有助于我们更好地理解和应用触发器,提高数字电路设计的能力。
实验三集成触发器的逻辑功能测试一实验目的1.熟悉JK触发器的基本原理及逻辑功能。
2.熟悉D触发器的基本原理及逻辑功能,并掌握其寄存器移位功能。
3.触发器应用。
二、实验仪器及器件仪器:逻辑箱,数字万用表器材:74LS74、74LS76三、实验基本原理JK触发器有J输入端和K输入端,而其R D端和S D端则具有置“0”置“1”功能,逻辑功能如下:Q当J=K=1时,CP脉冲作用下,触发器状态翻转,写成Q n+1=n当J=K=0时,CP脉冲作用下,触发器保持原状态,写成O n+1=Q n。
当J=1,K=0时,在CP脉冲作用下,触发器置“1”,写成Q n+1=1。
当J=0,K=1时,在CP脉冲作用下,触发器置“0”,写成Q n+1=0。
四、触发器的逻辑功能测试:1.JK触发器(选择74LS76)(1)触发器置“0”“1”的功能测试:将S D、R D分别接开关K i+1、K i,Q、Q分别接发光二极管L i+1,L i,按表5—1要求改变S D,R D(J,K,CP处于任意状态),并在S D R D作用期间,任意改变J、K、CP的状态,观察Q和Q的状态,将结果记录于表5—1。
表5—1JK触发器菜单将J、K分别接开关,而上述实验中的S D、R D所接开关保持,并置于S D=1,R D=1的状态,时钟CP接单脉冲信号源的输出P+,按表5—2要求,将结果记录于表5—2。
2.D 触发器:(选择74LS74)(1) 触发器置“0”置“1”功能的测试:将S D 、R D 分别接开关,Q 、Q 分别接发光二极管,按表5—3要求改变S D 、R D (D 及CP 处于任意状态)并在S D 、R D 作用期间,任意改变D 与CP 的状态,测试S D 、RD 的功能,并将测试结果记录于表5—3。
表5—3D 触发器S D 、R D 菜单(2) 对D 触发器逻辑功能的测试,结果记录于表5—4。
表5—触发器逻辑菜单五、触发器应用:1. 用JK 触发器(74LS76)组成三位串行累加计数器如下图。
一、实验目的本次实验旨在通过实际操作,加深对集成元件的理解和认识,掌握集成元件的基本应用,并锻炼学生的动手能力和分析问题、解决问题的能力。
二、实验内容1. 集成门电路实验(1)实验目的:验证常用集成门电路的逻辑功能,熟悉各种门电路的逻辑符号,了解TTL集成电路的特点、使用规则和使用方法。
(2)实验内容:测试74LS00四2输入与非门、74LS86四2输入异或门、74LS11三3输入与门、74LS32四2输入或门、74LS04反相器的逻辑功能。
2. 集成运算放大电路实验(1)实验目的:进一步理解集成运算放大器线性应用电路的特点,掌握集成运算放大器基本线性应用电路的设计方法,了解限幅放大器的转移特性以及转移特性曲线的绘制方法。
(2)实验内容:搭建反相比例放大电路、同相比例放大电路、差动放大电路,观察输入输出波形,分析电路特性。
3. 集成计数器实验(1)实验目的:掌握集成计数器构成N进制的计数器的连接方法,了解构成模长M进制计数器的原理。
(2)实验内容:设计并搭建60进制计数电路,观察七段数码显示器计数状态的变化过程,并记录该状态循环。
三、实验结果与分析1. 集成门电路实验实验结果表明,各种门电路的逻辑功能符合预期,能够实现逻辑运算。
通过实验,我们熟悉了各种门电路的逻辑符号,了解了TTL集成电路的特点、使用规则和使用方法。
2. 集成运算放大电路实验实验结果表明,反相比例放大电路、同相比例放大电路、差动放大电路均能正常工作,输入输出波形符合预期。
通过实验,我们进一步理解了集成运算放大器线性应用电路的特点,掌握了集成运算放大器基本线性应用电路的设计方法,了解了限幅放大器的转移特性以及转移特性曲线的绘制方法。
3. 集成计数器实验实验结果表明,60进制计数电路能够正常工作,七段数码显示器计数状态的变化过程符合预期。
通过实验,我们掌握了集成计数器构成N进制的计数器的连接方法,了解了构成模长M进制计数器的原理。
四、实验心得与体会1. 通过本次实验,我对集成元件有了更加深入的理解和认识,提高了自己的动手能力和分析问题、解决问题的能力。
EDA实验报告触发器及应用及移位寄存器EDA实验报告实验目的:1.触发器的工作原理。
2.基本时序电路的VHDL代码编写。
3.按键消抖电路应用。
4.定制LPM原件。
5.VHDL语言中元件例化的使用。
6.移位寄存器的工作原理及应用。
实验要求:1.运用LPM原件定制DFF触发器,并调用LPM 定制的DFF触发器,用VHDL语言的元件例化实现消抖电路并了解其工作原理。
2. 移位寄存器是用来寄存二进制数字信息且能进行信息移位的时序逻辑电路。
根据移位寄存器存取信息的方式不同可分为串入串出、串入并出、并入串出、并入并出4种形式,并通过数码管显示出来。
实验原理:1.消抖电路由于一般的脉冲按键与电平按键采用机械开关结构,其核心部件为弹性金属簧片。
按键信号在开关拨片与触点接触后经多次弹跳才会稳定。
本实验采用消抖电路消除抖动以获得一个稳定的电平信号。
2.移位寄存器移位寄存器具有左移、右移、并行输入数据、保持及异步清零5种功能。
其中A、B、C、DQQQQCABD为并行输入端,、、、为并行输出端;SRSI为右移串行输入端,SLSI 为左移串行输入端;S1、S0为模式控制端;CLRN为异步清零端;CLK为时钟脉冲输入端。
实验具体步骤:1.消抖电路(1).用lpm定制DFF<1>.设置lpm_ff选择Installed Plug-Ins?Storage?lpm_ff项;<2>.设置输入data为1位,clock为时钟信号,类型为D型;<3>.添加异步清零和异步置1;其VHDL语言为:LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY mydff ISPORT(clock : IN STD_LOGIC ;data : IN STD_LOGIC ;q : OUT STD_LOGIC);END mydff;ARCHITECTURE SYN OF mydff ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (0 DOWNTO 0);SIGNAL sub_wire1 : STD_LOGIC ;SIGNAL sub_wire2 : STD_LOGIC ;SIGNAL sub_wire3 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT lpm_ffGENERIC (lpm_fftype : STRING;lpm_type : STRING;lpm_width : NATURAL);PORT (clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);data : IN STD_LOGIC_VECTOR (0 DOWNTO 0));END COMPONENT;BEGINsub_wire1 <= sub_wire0(0);q <= sub_wire1;sub_wire2 <= data;sub_wire3(0) <= sub_wire2;lpm_ff_component : lpm_ffGENERIC MAP (lpm_fftype => "DFF",lpm_type => "LPM_FF",lpm_width => 1)PORT MAP (clock => clock,data => sub_wire3,q => sub_wire0);END SYN;(2).VHDL结构式描述顶层--Top level entity xiaodou library ieee; use ieee.std_logic_1164.all;entity xiaodou isport( d_in,clk:in std_logic;clk_out:out std_logic); end xiaodou; architecture xiaodou_arch of xiaodou is component mydff is --元件例化PORT(clock : IN STD_LOGIC ;data : IN STD_LOGIC ;q : OUT STD_LOGIC);END component;signal x,y:std_logic;begindff1:mydff port map(clock=>clk,data=>d_in,q=>x);dff2:mydff port map(clk,x,y); clk_out<=x and (not y); endxiaodou_arch;(3).功能仿真波形:2.移位寄存器(1).74194功能验证电路(2).74194功能仿真结果仿真分析:clrn=1,clk上升时,s为11,移位寄存器并行置数,此时abcd=1010,q_abcd=1010; clrn=0,移位寄存器进行清零,此时有q_abcd=0000;clrn=1,clk上升时,s为01,sl_sr为01,移位寄存器串行右移补1,输出q_abcd=1000; clrn=1,clk上升时,s为01,sl_sr为10,移位寄存器串行右移补0,输出q_abcd=0100; clrn=1,clk上升时,s为10,sl_sr为10,移位寄存器串行左移补1,输出q_abcd=1001; clrn=1,clk上升时,s为10,sl_sr为01,移位寄存器串行左移补0,输出q_abcd=0010。
数字电路实验报告专业:汽车电子技术年级: 2姓名:杜丽娟学号:指导教师:毛群阿坝师专电子信息工程系实验一常用集成门电路逻辑功能测试及其应用实验目的:1、掌握集成门电路的逻辑功能、逻辑符号和逻辑表达式;2、了解逻辑电平开关和逻辑电平显示的工作原理;3、学会验证集成门电路的逻辑功能;4、掌握集成门电路逻辑功能的转换;5、学会连接简单的组合逻辑电路。
二、实验原理:1、功能测试(1).TTL集成门电路的工作电压:(2).TTL集成门引脚识别方法:(3).TTL集成门电路管脚识别示意图及各个引脚的功能(74LS00、74LS04、74LS08、74LS32)2、功能应用(1)。
常用门电路的逻辑表达式:(2)。
逻辑代数基本定理:(3)。
简单组合逻辑电路的连接注意事项:三、实验仪器设备及器材:集成块:74LS00、74LS04、74LS08、74LS32、四、实验内容与步骤:(一)功能测试1、集成门电路逻辑功能测试:(1)、集成门的逻辑功能测试a|、电路图:b、测试结果:74LS00试验结果1脚2脚3脚4脚5脚6脚7脚8脚9脚10脚11脚12脚13脚14脚74LS04试验结果1脚2脚3脚4脚5脚6脚7脚8脚9脚10脚11脚12脚13脚14脚74LS08试验结果1脚2脚3脚4脚5脚6脚7脚8脚9脚10脚11脚12脚13脚14脚74LS32试验结果1脚2脚3脚4脚5脚6脚7脚8脚9脚10脚11脚12脚13脚14脚1.用与非门实现非门;电路图:2.用非门和与非门实现或门;电路图:输入输出逻辑表达输入输出逻辑表达3.用与非门和与非门实现或门;电路图:4.用非门和与门实现同或门;电路图:5.用74LS00和74LS08实现逻辑函数表达式:Y=ABC。
电路图:五、实验总结和体会:。
竭诚为您提供优质文档/双击可除二-十进制译码器实验报告篇一:实验二译码器及其应用实验二译码器及其应用一、实验目的1、掌握中规模集成译码器的逻辑功能和使用方法2、熟悉数码管的使用二、实验原理译码器可分为通用译码器和显示译码器两大类。
前者又分为变量译码器和代码变换译码器。
1、变量译码器(又称二进制译码器),以3线-8线译码器74Ls138为例。
其中A2、A1、A0为地址输入端,Y0~Y7为译码输出端,s1、s2、s3为使能端。
(a)(b)图6-13-8线译码器74Ls138逻辑图及引脚排列表6-174Ls138功能表二进制译码器还能方便地实现逻辑函数,如图6-3所示,实现的逻辑函数是Z=Abc?Abc?Abc+Abc2、数码显示译码器a、七段发光二极管(LeD)数码管(a)共阴连接(“1”电平驱动)(b)共阳连接(“0”电平驱动)(c)符号及引脚功能图6-5LeD数码管b、bcD码七段译码驱动器此类译码器型号有74Ls47(共阳),74Ls48(共阴),cc4511(共阴)等,本实验系采用cc4511bcD码锁存/七段译码/驱动器。
驱动共阴极LeD数码管。
图6-6为cc4511引脚排列其中图6-6cc4511引脚排列A、b、c、D—bcD码输入端a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LeD数码管。
LT—测试输入端,LT=“0”时,译码输出全为“1”消隐输入端,bI=“0”时,译码输出全为“0”bI—Le—锁定端,Le=“1”时译码器处于锁定(保持)状态,译码输出保持在Le=0时的数值,Le=0为正常译码。
表6-2为cc4511功能表。
cc4511内接有上拉电阻,故只需在输出端与数码管笔段之间串入限流电阻即可工作。
译码器还有拒伪码功能,当输入码超过1001时,输出全为“0”,数码管熄灭。
在本数字电路实验装置上已完成了译码器cc4511和数码管bs202之间的连接。
实验时,只要接通+5V电源和将十进制数的bcD码接至译码器的相应输入端A、b、c、D即可显示0~9的数字。
触发器功能测试031210425 刘思何一.实验目的1.了解时钟脉冲的触发作用2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用3.理解触发器所实现的状态转换功能二.实验器件开发板、计算机、vivado软件三.实验内容1.基本RS触发器的编写,验证并且生成IP核。
连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。
module rs_ff10(input s_n,input r_n,output q);reg q;always@*begincase({s_n,r_n})2'b00 : q=1'bx;2'b01 : q=1'b1;2'b10 : q=1'b0;2'b11 : q=q;endcaseendendmodule2.JK触发器的编写,验证并且生成IP 核。
module jk_ff10(input clk,output q,output q_n,input j,input k);reg q;always@(posedge clk) begincase({j,k})2'b00 : q<=q;2'b01 : q<=1'b0;2'b10 : q<=1'b1;2'b11 : q<=~q;default : q<=1'bx;endcaseendassign q_n=~q; endmodule先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。
3.D触发器的编写,验证如JK触发器一样进行验证。
四.实验数据及分析R触发器V16 (s_n)V17 (r_n) U160 0 不定0 1 暗1 0 亮1 1 保持上个状态JK触发器(上升沿触发)R2T1(s_n,r_n) V16(J) V17(K) U16(q) E19(q_n)11->10->01->11 0 1 暗亮置0 11->10->01->11 1 0 亮暗置1 11->10->01->11 0 0 亮暗保持11->10->01->11 1 1 暗亮翻转D触发器(上升沿触发)R2T1 W13(d) V13(q) V14(q_n)10->01 0 暗亮10->01 1 亮暗五.实验心得及体会这是第三次在实验课上用开发板,对于VIV ADO程序的操作已经比较熟练了,已经基本熟悉操作的流程.虽然是按照老师给的步骤一步一步做,但自己已经能理解很多选项的意思。
触发器功能测试实验报告触发器功能测试实验报告一、引言触发器是数字电路中常见的重要元件之一,其具有存储和放大信号的功能。
触发器的功能测试是电子工程师在设计和制造数字电路时必不可少的一项工作。
本实验旨在通过对不同类型的触发器进行功能测试,验证其在不同工作模式下的正确性和稳定性。
二、实验目的1. 了解触发器的基本原理和工作模式;2. 掌握触发器的功能测试方法;3. 验证不同类型触发器的工作特性。
三、实验器材和材料1. 实验板;2. 电源供应器;3. 逻辑分析仪;4. 电压表;5. 连接线。
四、实验步骤1. 准备工作:将实验板连接好电源供应器和逻辑分析仪,并确保连接正确;2. 功能测试:依次测试RS触发器、D触发器、JK触发器和T触发器的工作特性。
五、实验结果与分析1. RS触发器测试:a. 将RS触发器的S端和R端分别接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证RS触发器在不同输入情况下的工作特性。
2. D触发器测试:a. 将D触发器的D端接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证D触发器在不同输入情况下的工作特性。
3. JK触发器测试:a. 将JK触发器的J端和K端分别接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证JK触发器在不同输入情况下的工作特性。
4. T触发器测试:a. 将T触发器的T端接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证T触发器在不同输入情况下的工作特性。
六、实验结论通过对RS触发器、D触发器、JK触发器和T触发器的功能测试,我们可以得出以下结论:1. RS触发器具有存储和放大信号的功能,可以用于实现简单的存储器和时序电路;2. D触发器可以将输入信号在时钟脉冲到来时存储,并在下一个时钟脉冲到来时输出;3. JK触发器是一种带有异步清零和置位功能的触发器,可以用于实现频率分割和计数器等电路;4. T触发器是一种特殊的JK触发器,其输入端和输出端相连,可以实现频率分割和频率加倍等功能。
触发器实验报告一、实验目的本次实验的主要目的是深入了解和掌握触发器的工作原理、功能特性以及在数字电路中的应用。
通过实际操作和观察,增强对触发器逻辑行为的直观认识,提高电路设计和故障排查的能力。
二、实验设备和材料1、数字电路实验箱2、示波器3、逻辑分析仪4、各种集成触发器芯片(如 D 触发器、JK 触发器等)5、电阻、电容、导线若干三、实验原理1、触发器的定义和分类触发器是一种能够存储一位二进制信息的基本单元电路,根据其逻辑功能的不同,可分为 D 触发器、JK 触发器、T 触发器和 SR 触发器等。
2、 D 触发器D 触发器在时钟脉冲 CP 的上升沿(或下降沿)将输入数据 D 锁存到输出端 Q。
其逻辑表达式为:Q(n+1) = D。
3、 JK 触发器JK 触发器具有置0、置1、保持和翻转四种功能。
当J=1,K=0 时,在时钟脉冲作用下触发器置 1;当 J=0,K=1 时,触发器置 0;当J=K=0 时,触发器保持原态;当 J=K=1 时,触发器翻转。
其逻辑表达式为:Q(n+1) =JQ(n)’ +K’Q(n)。
4、触发器的触发方式触发器的触发方式分为边沿触发和电平触发。
边沿触发是指在时钟脉冲的上升沿或下降沿触发,而电平触发是指在时钟脉冲为高电平或低电平时触发。
边沿触发方式可以有效地避免空翻现象,提高电路的可靠性。
四、实验内容和步骤1、 D 触发器实验(1)按照实验电路图,在实验箱上连接好 D 触发器电路,将输入信号 D 接逻辑电平开关,时钟信号 CP 接脉冲信号源。
(2)通过改变输入信号 D 的电平状态和时钟信号 CP 的脉冲,用示波器观察输出端 Q 和Q’的波形,并记录下来。
(3)分析输出波形与输入信号之间的关系,验证 D 触发器的逻辑功能。
2、 JK 触发器实验(1)类似地,连接好 JK 触发器电路,将 J、K 输入端分别接逻辑电平开关,时钟信号 CP 接脉冲信号源。
(2)设置不同的 J、K 输入组合,观察输出端 Q 和Q’的波形,并记录。
深圳大学实验报告课程名称:数字电路与逻辑设计
实验项目名称:集成触发器功能测试及转换学院:计算机与软件学院
专业:
指导教师:
报告人:学号:班级:
实验时间:
实验报告提交时间:
教务处制
2) 由JK 触发器转为T 触发器
n n
n Q K Q J Q +=+1, CP 下降沿到来
JK 的特性方程
n n Q Q =+1, CP 不是下降沿
Q n+1=n n
Q T Q T + CP 下降沿到来
T 的特性方程
Q n+1
=Q n
CP 不是下降沿
由此可得:J=K=T 电路接线图
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。
2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。