汽车尾灯(数电电子技术实习)
- 格式:doc
- 大小:328.83 KB
- 文档页数:8
数字电子技术课程设计题目:汽车尾灯控制班级:姓名:学号:指导教师:完成时间:汽车尾灯控制电路一.设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。
用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。
当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭)显示。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反(R1→R1R2→R1R2R3→全灭→R1)。
图1 左转弯显示规律图二、设计条件本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用Multisim软件进行仿真。
三、设计要求及原理本课程设计分为实际设计与虚拟仿真两个环节。
根据以上要求,要实现当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭→L1L2L3)显示,如图1。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反。
当KR和KL同时按下时,L1L2L3和R1R2R3均按时钟闪烁。
可先用74138对开关信号译码来产生高低电平,再用74163来计数,最后把产生的数用逻辑器件来实现上述要求。
根据不同的状态,绘制汽车尾灯和汽车运行状态表如下;图2 汽车尾灯控制电路设计总体框图四、设计内容(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明: 在本次实验中,汽车尾灯的闪烁快慢要由计数器74163产生的数的快慢来决定,即与时钟CLK 的频率有关。
在本次设计中,我想利用555定时器产生0.5kHZ 的方波。
②.设计计算公式:高电平时间: 221ln )(C R R t ph += 低电平时间: C R t pl 2=2ln ③.设计最后图形如图(3):图(3)④.仿真波形以及连接图形如图(4):如图(3)所示为在Multisim里仿真时的实际连接电路。
数字电子实习报告题目:汽车尾灯设计专业:自动化班级学号: 12-2 39**:*******:**日期: 2014/6/30-2014/7/4目录1实习目的 (3)2Maxplus2简介 (3)3汽车尾灯电路的设计 (4)3.1设计任务及要求 (4)3.2系统总体设计方案 (5)3.2.1总体设计方案 (5)3.2.2方案特点 (5)3.3控制电路设计 (5)3.4计数电路设计 (6)3.5系统总体电路设计 (7)3.6系统调试 (7)3.6.1右转过程调试 (7)3.6.2刹车过程调试 (8)3.6.3报警过程调试 (8)3.6.4进行试验箱模拟 (8)4元件清单 (10)5实习心得 (10)6参考文献 (11)1.实习目的➢掌握现代数字系统的设计方法;➢学会使用Max+plusII软件设计数字电路系统并进行仿真和分析;➢熟悉数字电路系统及FPGA实验箱的硬件调试方法。
2MAXPLUS2软件介绍本次实习用到的Max+plusII(或写成Maxplus2,或MP2) 软件是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用Max+plusII的设计者不需精通器件内部的复杂结构。
设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,Max+plusII把这些设计转自动换成最终所需的格式。
其设计速度非常快。
对于一般几千门的电路设计,使用Max+plusII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。
设计处理一般在数分钟内内完成。
特别是在原理图输入等方面,Max+plusII被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。
Max+plusⅡ开发系统的特点:1、开放的界面Max+plusⅡ支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。
数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。
掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。
1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。
2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。
通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。
2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。
2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。
3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。
4.调试和验证电路的功能,确保尾灯控制电路正常工作。
3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。
经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。
3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。
2. 示宽灯:当车辆转向时,尾灯会交替闪烁。
3. 倒车灯:当车辆倒车时,尾灯会亮起。
4. 位置灯:车辆启动后,尾灯会持续亮起。
3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。
该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。
4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。
同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。
设计一个汽车尾灯控制电路1.设计任务用6只发光二极管模拟6盏汽车尾灯(汽车尾部左,右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个开关控制左转弯)。
要求:当汽车往前行驶时(此时两个开关都未接通),6盏灯全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左至右顺序亮灭,左边3盏灯全灭;若左转弯(即左转开关接通),左边3盏尾灯从右至左顺序亮灭,右边3盏灯全灭。
当左,右两个开关同时接通时,6盏尾灯同时明暗闪烁。
当左右转信号同时有效时,6盏灯的闪烁是通过一个与非门实现的。
总体框图如图9-14所示图9-14 总体框图2.模块及模块功能模块KONG如图9-15所示。
此模块为整个程序的控制模块。
当左转时,LFT信号有效;右转时,RIT信号有效;当左右信号都有效时,LR信号有效。
library ieee;use ieee.std_logic_1164.all;entity kong isport(left,right:in std_logic;lft,rit,lr:out std_logic);end kong;architecture kong_arc of kong isbeginprocess(left,right)variable a:std_logic_vector(1 downto 0);begina:=left&right;case a iswhen"00"=>lft<='0';rit<='0';lr<='0';when"10"=>lft<='1'; 图9-15 模块KONGrit<='0';lr<='0';when"01"=>rit<='1';lft<='0';lr<='0';when others=>rit<='1';lft<='1';lr<='1';end case;end process;end kong_arc;模块LFTA如图9-16所示。
汽车尾灯控制电路实验报告(新)《数字电子技术》课程设计报告汽车尾灯控制器学生姓名:金绍康李珺凯汪涛方封淋梁宏棒潘强华指导教师:曹喜珠所在系:电子工程系所学专业:电子信息工程年级: 2013级2015 年 6 月摘要该课程设计主要介绍了利用数字电路知识来完成汽车尾灯控制电路设计的方法。
本设计主要解决如何用数字逻辑电路控制汽车尾灯的左转、右转、刹车等功能。
通过设计汽车尾灯显示控制电路,能很好的综合运用我们所学到数字电子技术基础和模拟电子技术基础知识,它的主要特点是电路简单易懂,防干扰能力强。
本设计主要由五部分组成,包括开关控制电路、信号发生电路、译码控制电路、计数电路、显示驱动电路,通过尾灯的亮灭情况可以清楚的告知行人它将要发生的动态变化,从而避免了交通事故的发生。
【关键词】数字电路尾灯设计目录第一章组员信息及分工 (3)第二章设计目的 (3)第三章设计任务 (3)第四章设计思路 (3)4.1 时钟信号源CLK设计 (3)4.2 主电路设计分析 (3)第五章主要芯片功能介绍 (5)5.1 55 5定时器 (5)5.1.1 555定时器的电路结构与功能 (5)5.1.2 定时器芯片的引脚功能 (7)5.2 74LS138译码器 (7)5.3 74LS161计数器 (8)5.4 基本逻辑门芯片 (9)5.5 数码管的显示 (9)第六章电路设计框图 (10)第七章电路仿真图 (10)7.1 左右转弯仿真电路 (11)7.2 汽车刹车控制电路 (11)7.3 总体电路 (12)第八章课程设计体会 (13)参考文献 (14)附件 (15)第一章、设计目的1.了解汽车尾灯控制电路的工作原理;2.熟悉单片机与常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。
第二章、设计任务1.假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:汽车正常运行时指示灯全灭;右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时,左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。
在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。
本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。
一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。
数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。
在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。
所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。
二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。
这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。
工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。
2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。
NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。
通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。
3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。
按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。
4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。
在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。
三、实验结果通过将设计好的电路拼装后,进行了实验测试。
实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。
数电课程设计汽车尾灯一、教学目标本节课的教学目标是让学生掌握数字电路中汽车尾灯的基本原理和设计方法。
知识目标包括了解汽车尾灯的工作原理、掌握常用的数字电路元件及其功能、熟悉逻辑门电路的设计方法。
技能目标则要求学生能够运用所学的知识设计出简单的汽车尾灯电路,提高学生的实际操作能力。
情感态度价值观目标则是通过本节课的学习,使学生对数字电路产生浓厚的兴趣,培养学生的创新意识和团队合作精神。
二、教学内容本节课的教学内容主要包括汽车尾灯的工作原理、数字电路元件的功能及应用、逻辑门电路的设计方法。
首先,介绍汽车尾灯的工作原理,让学生了解其在汽车行驶过程中的重要作用。
然后,讲解常用的数字电路元件,如二极管、晶体管、电阻等,并阐述其在汽车尾灯电路中的应用。
接下来,教授逻辑门电路的设计方法,引导学生掌握如何设计出符合要求的汽车尾灯电路。
三、教学方法为了提高教学效果,本节课将采用多种教学方法。
首先,采用讲授法,为学生讲解汽车尾灯的工作原理和数字电路元件的功能。
其次,通过讨论法,引导学生探讨逻辑门电路的设计方法,激发学生的思考。
再次,运用案例分析法,分析实际案例,使学生更好地理解理论知识。
最后,采用实验法,让学生亲自动手设计并搭建汽车尾灯电路,提高学生的实践能力。
四、教学资源为了支持本节课的教学,我们将准备以下教学资源:教材《数字电路》,用于为学生提供理论知识的学习;参考书《汽车尾灯电路设计》,用于为学生提供实际案例分析;多媒体资料,包括图片、视频等,用于为学生形象地展示汽车尾灯电路的工作原理;实验设备,如电路板、元件等,用于让学生动手实践。
通过这些教学资源的运用,丰富学生的学习体验,提高教学效果。
五、教学评估本节课的评估方式将采用多元化手段,全面客观地评价学生的学习成果。
首先,通过课堂提问和讨论,评估学生在课堂上的参与度和理解程度。
其次,通过作业和实验报告,评估学生对课堂所学知识的掌握和应用能力。
最后,通过期中和期末考试,全面测试学生的理论知识水平和实际操作能力。
光电子元器件认知与实践(三)数字系统与逻辑设计课程设计题目名称:汽车尾灯姓名:张昊学号:2011122447班级:光信111淮海工学院理学院光信息系目录第一章:系统概述。
(3)第二章:单元电路设计与分析。
(5)第三章:电路的安装与调试。
(12)第四章:结束语。
(13)附件:元器件清单。
(14)参考文献。
(14)第一章:系统概述a.课程设计目的及基本要求1、巩固和加强数字系统与逻辑设计课程的理论知识。
2、掌握电子电路的一般的设计方法,了解电子产品研制开发过程。
3、提高电子电路实验技能及仪器使用能力。
4、掌握电子电路安装和调试的方法及故障排除方法。
5、学会撰写课程设计总结报告。
6、通过查阅手册和文献资料,培养同学们独立分析问题和解决问题的能力。
7、培养创新能力和创新思维。
b.设计题目选择及题目要求选题:汽车尾灯设计要求:(1)、用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。
(2)、用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。
打开时,左转弯尾灯显示的3个发光二极管按图4所(3)、当左转弯开关KL示规律亮灭显示。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律亮灭显示,但方向相反。
图为:左转弯显示规律图c.设计思路(1) 汽车尾灯显示状态与汽车运行状态的关系首先要设置2个状态控制变量,来区分汽车尾灯的4种不同的显示模式。
下面用开关KL、KR进行显示模式控制,当开关KL.KR为00时,汽车正常行驶;为01表示右转弯;为10表示左转弯;11表示临时刹车。
分析汽车尾灯显示状态与汽车运行状态的关系详见下表3-1。
表3-1 汽车尾灯显示状态与汽车运行状态的关系(2) 汽车尾灯控制器功能描述当汽车左、右转弯行驶时,3个指示灯根据转弯方向循环顺序点亮,所以可以用一个三进制数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮左、右尾灯。
设三进制计数器的状态用Q 0、Q 1表示。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
数字电子课程设计汽车尾灯控制电路设计院系:专业:姓名:班级:学号:时间:指导老师:目录1 设计内容及要求2 电路的工作原理3 系统方案4 系统框图5 单元电路的具体设计6 总体电路图7 测试单元电路8 调试过程中遇到的问题及解决方法9 设计体会心得10 参考文献设计前言本课题设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
电路设计预计所需元件:74LS00两个、74LS04两个、74LS32两个、74LS74两个、555定时器一个、0.01uF和10uF电容各一个、50k欧姆和43k欧姆电阻各一个、1k欧姆电阻4个、200欧姆电阻6个、开关4个、发光二极管6个、导线若干一、设计内容及要求本课题设计一个汽车尾灯的控制电路。
该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。
当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
当接通刹车电键时,汽车所有的尾灯同时闪烁。
当接通检查电键时,汽车所有的尾灯点亮。
二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。
3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
三、系统方案通过D触发器产生001、010、100的三种状态信号。
这是本次课程设计中使用的方案,该方案的详细设计将在下面详细叙述。
四、系统框图(图1:系统总体流程框图)五、单元电路的具体设计:1.由555定时器构成的多谐振荡器:由555定时器构成的多谐振荡器时输出频率为:f=1.43/(R1+R2)/C,这里选择R1=43K 欧姆,R2=50K 欧姆,C=10u 法拉,则输出信号为1赫兹(周期为1秒)。
数电汽车尾灯控制电路实验报告实验名称:数电汽车尾灯控制电路实验实验目的:1. 了解数字电路的基本原理和实验步骤;2. 熟悉数电元件的特性和使用方法;3. 掌握数字电路的组成和运作方式。
实验材料和设备:1. 数字集成电路(IC):74LS08(与门)、74LS04(非门)、74LS32(或门)2. 实验电路板(面包板)3. 电源:直流电源(5V)4. 电阻、电容、LED 等元件5. 逻辑开关实验原理:数字电路是由数字元件(如与门、非门、或门等)组成的电路系统,主要用于数字信号的处理。
本实验的目标是设计一个汽车尾灯控制电路,实现根据特定的信号来控制汽车尾灯的亮灭。
实验步骤:1. 将实验电路板上的电源连接好,保证电路工作所需的供电。
2. 按照实验需求,连接相应的 IC 和其他元件(如开关、LED 等),组成所需的电路。
3. 打开实验电源,给电路提供工作电压。
4. 按照实验要求,观察汽车尾灯的亮灭情况,并记录结果。
5. 分析实验结果,总结数字电路的工作原理和特点。
实验注意事项:1. 实验时注意安全,避免电路短路和触电等事故;2. 实验过程中,根据需要调整电路连接、元件的参数等;3. 实验结束后,及时关闭实验设备和电源,保持实验环境整洁。
实验结果与分析:根据实验设计的汽车尾灯控制电路,根据特定的输入信号,可以实现对汽车尾灯的控制。
通过对实验结果的观察和分析,可以得出数字电路的工作原理和特点。
实验总结:通过本次实验,我对数字电路的基本原理和实验步骤有了更深入的理解,并且了解了数字元件的特性和使用方法。
同时,通过设计汽车尾灯控制电路,我掌握了数字电路的组成和运作方式。
这些知识对于今后的学习和工作都具有重要的意义。
目录1绪论 (1)2功能描述 (2)2.1汽车尾灯显示状态与汽车运行状态的关系 (2)2.2汽车尾灯控制器功能描述 (2)3单元电路的设计和元器件的选择 (3)3.1 各单元电路的设计 (3)3.1.1秒脉冲电路的设计 (3)3.1.2 开关控制电路的设计 (5)3.1.3 三、四、六进制计数器电路的设计 (6)3.1.4译码与显示驱动电路的设计 (9)3.1.5尾灯状态显示电路的设计 (13)3.1.6整体电路图 (14)4 电路的仿真 (15)4.1 使用软件 (15)4.2 整机电路 (15)4.3 仿真结果 (15)4.4 仿真结果分析说明 (17)5设计心得 (18)6元件清单 (19)6.1主要元件列表 (19)主要参考文献资料 (20)汽车尾灯显示控制电路设计1绪论随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。
课程设计作为数字电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力;另一方面也可使我们更好地巩固和加深对基础知识的理解,学会设计中小型数字系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。
通过实践引导我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
例如汽车尾灯显示控制电路,应能实现如下功能:右转弯时三个右边的灯应动作,左边的灯则全灭,周期性明亮与暗,一周约需一秒,对于左转弯,左边灯的操作应相类似;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗。
同时,电路还用一个开关模拟脚踏制动器,制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮,在转弯的情况下,三个转向的尾灯应正常动作,另三个尾灯连续亮。
数电课程设计-汽车尾灯课题汽车尾灯控制器专业电子信息工程班级电子Z121班姓名袁涛 201202203031 2016年5月20日目录第1章概述 (3)第2章方案论证 (5)第3章电路设计 (8)3.1 时钟脉冲电路 (8)3.2 开关控制电路 (8)3.3 三进制计数器 (9)3.4 译码、显示驱动电路 (10)第5章结论 (12)第7章课设体会及合理化建议 (14)参考文献 (15)附录I 总电路图 (17)附录II 元器件清单 (18)(2)汽车正常运行时指示灯全灭;(3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮;(4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮;(5)汽车刹车时,所有指示灯同时闪烁。
第2章方案论证方案一:汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。
首先将脉冲信号CLK提供给D触发器逻辑电路。
用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。
将此信号作为左转、右转的原始信号。
设置左、右转控制开关。
通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的三个汽车尾灯上。
这部分电路起电路分拣的作用。
设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。
当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号CLK全部闪烁的功能。
最终得到的信号即可输出到发光二极管上,实现所需功能。
方案一原理框图如图1所示。
图1 方案一原理框图方案二:汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示、驱动电路构成。
由于汽车左、右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控制的开关,可产生00、01、10、11四种状态。
开关置为00时,表示汽车处于正常运行状态。
开关置为01时,表示汽车处于右转弯状态。
开关置为10时,表示汽车处于左转弯状态。
河南****机电工程学院《数字电子技术》课程设计报告
课程名称:数字电子技术
系部:机电工程学院
专业班级:电信-09-1
学生姓名:翌少
指导教师:邹老师
完成时间:11年5月30日
报告成绩:
评阅意见:
评阅教师日期
汽车尾灯控制电路的设计报告
一. 设计要求
用六个发光二极管模拟车尾部左右两侧的三个尾灯,用开关S1、S0。
(1).左转弯时左侧三个指示灯按照(000-100-110-111-000)顺序周期性的亮与暗,设周期为1秒,右边的灯不亮。
(2).右转弯时右侧三个指示灯按照(000-100-110-111-000)顺序周期性的亮与暗,设周期为秒,左边的灯不亮。
(3).当司机不慎同时接通左右转弯的两个开关时,6个尾灯按1秒的频率同时闪烁,停车时,全灭。
二.设计的作用、目的
作用:为汽车尾灯设计电路,提醒后面的车辆前方车辆要左转向或右转向,让后面的车辆提前做好准备,以免发生交通事故。
目的:模拟现实,运用所学的数字电子技术的相关知识实现现实中的一些实际的问题,让理论和实际结合,让枯燥的理论形象化。
三.设计的具体实现
1.系统概述
简单介绍系统设计思路与总体方案的可行性论证,各功能块的划分与组成,全面介绍总体工作过程或工作原理。
设计分为四部分:
1、总控制电路
2、左转向灯控制
3、右转向灯控制
4、错误操作闪烁控制
总体工作过程:电路用一个译码器作为电路的控制部分,控制下面各
部分电路工作!次电路主要由移位寄存器和JK触发器组成。
分别完成题
目要求的(2)(3)部分,详细介绍看下面的单元电路设计、仿真与分析
部分。
1
2 2. 单元电路设计、仿真与分析
详细介绍各单元电路的选择、设计及工作原理分析、仿真,并
介绍有关参数的计算及元器件参数的选择等。
单元电路:
左转向灯 右转向灯
功能介绍:
因为要实现灯依次000—100—110—111,所以想到了194的依次送数功能,依次送1。
并且要保证四个为一个循环,所以现在Cr 的清零功能。
L 、R 都为1时194才工作,所以接一个出来作为开关,控制这部分电路的运行。
S0/S1为1/1时,输出为置数的四个0,当S0/S1为1/0时
状态迁移表
S0 S1 SL Cr QA QB QC QD 送零 1 1 1 1 0 0 0 0 左移 1 0 1 1 1 0 0 0
1 1 1 1 0 0
1 1 1 1 1 0
异步清零不耗时 1 1 1 1 1 1 循环 1 0 0 0 0 0
1 1 1 0 0 0 错误操作闪烁总控制
3
4
状态迁移表
左 转向
右 转向
异
常
闪烁
功能介绍:
为了实现灯得闪烁功能,想到了触
发器的反转功能,一个脉冲反转依次,灯也随着亮灭
功能介绍:
译码器可以实现控制电路的
作用,输入端可以控制哪个输出有信号,通过使能控制控制分电路工作。
开关L R
Cr J K Q 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 0 1 1 1 1 1
1
1
A
B Y0 Y1 Y2 Y3 0 0 0 1 1 1 1 0 1 0 1 1 0 1 1 1 0 1 1
1
1
1
1
5
仿真全图:
3.电路的安装与调试
介绍电路安装调试过程中所遇到的主要技术问题,给出现象
记录、原因分析、解决措施及效果,详细介绍电路的性能指标或功能的测试方法、步骤、仪器设备、记录的图表和数据。
因为前期实在仿真软件上做的,仿真的接线和集成芯片的选择替换都简单的多,避免了很多因设计错误造成的不必要的重复实验,下面说一下在实验室验证时的一些问题。
问题及解决措施:
1、实物和模拟很多细节不同,每个芯片的功能口相应的接口和仿真不同 ——管脚图需要从网上查找。
2、集成电路内部可能有坏的、导线有的断了或是没有接头——提前验证每个集成电路是否能用,导线是否导电。
3、模拟器里的一些集成芯片实验室可能没有,或是别人在用,所以要临时用其它的集成芯片替代。
每个芯片都有自己的一些特点,所以要根据它们的自身
特点设计相应的接法,这方面比较灵活。
四.心得体会及建议
这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。
了解了更多电子元件的工作原理,如:74LS138、74LS96、555等。
同时也发现自对数电知识和电子设计软件掌握得不够。
其次在此次设计过程中由于我们频繁的使用一电子设计软件如:multisim等,因此使我进一步熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。
我认识到:数电设计每一步都要细心认真,因为任何一步出错的话,都会导致后面的环节发生错误。
在机器上验证是要注意排线,接口的选择等等。
在设计过程中遇到了一些问题,使得我查找各种相关资料,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。
这一课程设计,使我向更高的精神和知识层次迈向一大步。
在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。
五.附录
元器件明细表【注:以下为实物连接管脚图,与模拟电路无关】
74LS194
6
六.参考文献
数字电子技术·西安电子科技大学出版社·第三版
电子设计从零开始·清华大学出版社·第二版
附
2
:
multisim
模拟电路图开关
L
R
7。