当前位置:文档之家› Multisim简介及使用

Multisim简介及使用

Multisim简介及使用
Multisim简介及使用

目录

1 Multisim 12简介及使用 (1)

1.1 Multisim简介 (1)

1.1.1 Multisim概述 (1)

1.1.2 Multisim发展历程 (2)

1.1.3 Multisim 12的特点 (3)

1.2 Multisim 12的基本界面 (4)

1.2.1 Multisim 12的主窗口界面 (4)

1.2.2 Multisim 12的标题栏 (5)

1.2.3 Multisim 12的菜单栏 (5)

1.2.4 Multisim 12的工具栏 (6)

1.2.5 Multisim 12的元件库 (7)

1.2.6 Multisim 12的虚拟仪器库 (8)

1.3 Multisim 12的使用方法与实例 (9)

1Multisim 12简介及使用

1.1Multisim简介

1.1.1Multisim概述

NI Multisim是一款著名的电子设计自动化软件,与NI Ultiboard同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。

Multisim是以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

我们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样我们无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

1.1.2Multisim发展历程

Multisim 电路仿真软件最早是加拿大图像交互技术公司(Interactive Image Technologies,IIT)于20世纪80年代末推出的一款专门用于电子线路仿真的虚拟电子工作平台(Electronics Workbench,EWB)。用来对数字电路、模拟电路以及模拟/数字混合电路进行仿真。20世纪90年代初,EWB软件进入我国。1996年IIT公司推出EWB 5.0版本,由于其操作界面直观、操作方便、分析功能强大、易学易用等突出优点,在我国高等院校得到迅速推广,也受到电子行业技术人员的青睐。

从EWB 5.0版本以后,IIT公司对EWB进行了较大的变动,将专门用于电子电路仿真的模块改名为Multisim,将原IIT公司的PCB制板软件Electronics Workbench Layout更名为Ultiboard,为了增强器布线能力,开发了Ultiroute布线引擎。另外,还推出了用于通信系统的仿真软件Commsim。至此,Multisim、Ultiboard、Ultiroute和Commsim构成现在EWB的基本组成部分,能完成从系统仿真、电路仿真到电路板图生成的全过程。其中,最具特色的仍然是电路仿真软件Multisim。

2001年,IIT公司推出了Multisim 2001,重新验证了元件库中所有元件的信息和模型,提高了数字电路仿真速度,开设了https://www.doczj.com/doc/fe5505254.html,网站,用户可以从该网站得到最新的元件模型和技术支持。

2003年,IIT公司又对Multisim 2001进行了较大的改进,并升级为Multisim 7,其核心是基于带XSPICE 扩展的伯克利SPICE的强大的工业标准SPICE引擎来加强数字仿真的,提供了19种虚拟仪器,尤其是增加了3D 元件以及安捷伦的万用表、示波器、函数信号发生器等仿实物的虚拟仪表,将电路仿真分析增加到19种,元件增加到13000个。提供了专门用于射频电路仿真的元件模型库和仪表,以此搭建射频电路并进行实验,提高了射频电路仿真的准确性。此时,电路仿真软件Multisim 7已经非常成熟和稳定,是加拿大IIT公司在开拓电路仿真领域的一个里程碑。随后IIT公司又推出Multisim 8,增加了虚拟Tektronix示波器,仿真速度有了进一步提高,仿真界面、虚拟仪表和分析功能则变化不大。

2005年以后,加拿大IIT公司隶属于美国NI公司,并于2005年12月推出Multisim 9。Multisim 9在仿真界面、元件调用方式、搭建电路、虚拟仿真、电路分析等方面沿袭了EWB的优良特色,但软件的内容和功能有了很大不同,将NI公司的最具特色的LabVIEW仪表融入Multisim 9,可以将实际I/O设备接入Multisim 9,克服了原Multisim软件不能采集实际数据的缺陷。Multisim 9还可以与LabVIEW软件交换数据,调用LabVIEW虚拟仪表。增加了51系列和PIC系列的单片机仿真功能,还增加了交通灯、传送带、显示终端等高级外设元件。

NI公司于2007年8月26日发行NI系列电子电路设计套件(NI Circuit Design Suite 10),该套件含有电路仿真软件NI Multisim 10和PCB板制作软件NI Ultiboard 10两个软件。增加了交互部件的鼠标单击控制、虚拟电子实验室虚拟仪表套件(NI ELVIS II)、电流探针、单片机的C语言编程以及6个NI ELVIS

仪表。

2010年初,NI公司正式推出NI Multisim 11,能够实现电路原理图的图形输入、电路硬件描述语言输入、电子线路和单片机仿真、虚拟仪器测试、多种性能分析、PCB布局布线和基本机械CAD设计等功能。

2012年又推出了Multisim 12。Multisim 12 电路仿真环境通过使用直观的图形化方法,简化了复杂的传统电路仿真并且提供了用于电路设计和电子教学的量身定制版本。

2013 年 12月NI近日发布了Multisim 13.0,提供了针对模拟电子、数字电子及电力电子的全面电路分析工具。

本章主要介绍以Multisim 12为基础来介绍multisim软件的相关功能和使用。

1.1.3Multisim 12的特点

Multisim仿真软件自20世纪80年代产生以来,经过数个版本的升级,除保持操作界面直观、操作方便、易学易用等优良传统外,电路仿真功能也得到不断完善。目前,其版本NI Multisim 12主要有以下特点。

(1)直观的图形界面

NI Multisim 12保持了原EWB图形界面直观的特点,其电路仿真工作区就像一个电子实验工作台,元件和测试仪表均可直接拖放到屏幕上,可通过单击鼠标用导线将它们连接起来,虚拟仪器操作面板与实物相似,甚至完全相同。可方便选择仪表测试电路波形或特性,可以对电路进行20多种电路分析,以帮助设计人员分析电路的性能。

(2)丰富的元件

自带元件库中的元件数量更多,基本可以满足工科院校电子技术课程的要求。NI Multisim 12的元件库不但含有大量的虚拟分离元件、集成电路,还含有大量的实物元件模型,包括一些著名制造商,如Analog Device、Linear Technologies、Microchip、National Semiconductor以及Texas Instruments等。用户可以编辑这些元件参数,并利用模型生成器及代码模式创建自己的元件。

(3)众多的虚拟仪表

从最早的EWB 5.0含有7个虚拟仪表到NI Multisim 12提供22种虚拟仪器,这些仪器的设置和使用与真实仪表一样,能动态交互显示。用户还可以创建LabVIEW的自定义仪器,既能在LabVIEW图形环境中灵活升级,又可调入NI Multisim 12方便使用。

(4)完备的仿真分析

以SPICE 3F5和XSPICE的内核作为仿真的引擎,能够进行SPICE仿真、RF仿真、MCU仿真和VHDL仿

真。通过NI Multisim 12自带的增强设计功能优化数字和混合模式的仿真性能,利用集成LabVIEW和Signalexpress可快速进行原型开发和测试设计,具有符合行业标准的交互式测量和分析功能。

(5)独特的虚实结合

在NI Multisim 12电路仿真的基础上,NI公司推出教学实验室虚拟仪表套件(ELVIS),用户可以在NI ELVIS平台上搭建实际电路,利用NI ELVIS仪表完成实际电路的波形测试和性能指标分析。用户可以在NI Multisim 12电路仿真环境中模拟NI ELVIS的各种操作,为实际NI ELVIS平台上搭建、测试实际电路打下良好的基础。NI ELVIS仪表允许用户自定制并进行灵活的测量,还可以在NI Multisim 12虚拟仿真环境中调用,以此完成虚拟仿真数据和实际测试数据的比较。

(6)远程的教育

用户可以使用NI ELVIS 和 LabVIEW来创建远程教育平台。利用LabVIEW中的远程面板,将本地的VI 在网络上发布,通过网络传输到其他地方,从而给异地的用户进行教学或演示相关实验。

(7)强大的MCU模块

可以完成8051、PIC单片机及其外部设备(如RAM、ROM、键盘和LCD等)的仿真,支持C代码、汇编代码以及十六进制代码,并兼容第三方工具源代码;具有设置断点、单步运行、查看和编辑内部RAM、特殊功能寄存器等高级调试功能。

(8)简化了FPGA应用

在NI Multisim 12电路仿真环境中搭建数字电路,通过测试功能正确后,执行菜单命令将之生成原始VHDL语言,有助于初学VHDL语言的用户对照学习VHDL语句。用户可以将这个VHDL文件应用到现场可编程门阵列(FPGA)硬件中,从而简化了FPGA的开发过程。

1.2Multisim 12的基本界面

软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。

1.2.1Multisim 12的主窗口界面

启动Multisim 12后,将出现如图1-1所示的界面。

图1-1 Multisim 12的主窗口界面

由图1-1可以看出,Multisim 12的主窗口界面包含有多个区域:标题栏,菜单栏,各种工具栏,电路工作区窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。

1.2.2Multisim 12的标题栏

标题栏如图1-2所示的最上面一行。标题栏左侧是文件名。右侧有最小化、最大化和关闭三个控制按钮,通过它们实现对窗口的操作。当右击标题栏时,可出现一控制菜单如图1-2中,用户可以选择相应的命令完成还原、移动、大小、最小化、最大化和关闭的操作。

图1-2 Multisim 12的标题栏

1.2.3Multisim 12的菜单栏

菜单栏位于主窗口界面上方的第二行,如图1-3所示,一共给出了12个主菜单。通过这些菜单可以对Multisim的所有功能进行操作。

图1-3 Multisim 12的菜单栏

菜单中一些功能与大多数Windows平台上的应用软件一致,如文件、编辑、视图、选项、工具、帮助

等菜单。此外,还有一些EDA软件专用的选项,如绘制(放置),MCU,仿真等。

1、文件(File)菜单

File菜单中包含了对文件和项目的基本操作以及打印等命令。

2、编辑(Edit)菜单

编辑菜单类似于图形编辑软件的基本编辑功能。在电路绘制过程中,编辑菜单提供对电路和元件进行剪切、粘贴、翻转、对齐等操作。

3、视图(View)菜单

视图菜单选择使用软件时操作界面上所显示的内容,对一些工具栏和窗口进行控制。

4、绘制(Place)菜单

绘制菜单提供在电路工作窗口中放置元件、连接点、总线和文字等命令,从而输入电路。

5、MCU(微控制器)菜单

MCU菜单提供在电路工作窗口内MCU的调试操作命令。

6、仿真(Simulate)菜单

仿真菜单提供了电路的仿真设置与分析操作命令。

7、转移(Transfer)菜单

转移菜单提供了将Multisim格式转换成其他EDA软件需要的文件格式操作命令。

8、工具(Tools)菜单

工具菜单主要提供对元器件进行编辑与管理的命令。

9、报告(Reports)菜单

报告菜单提供材料清单、元器件和网表等报告命令。

10、选项(Option)菜单

选项菜单提供对电路界面和某些功能的设置命令。

11、窗口(Windows)菜单

窗口菜单提供对窗口的关闭、层叠、平铺等操作命令。

12、帮助(Help)菜单

帮助菜单提供了对Multisim的在线帮助和使用指导说明等操作命令。

对于菜单栏中这12个菜单项,当单击其中任意一个菜单时,就会弹出对应菜单下所提供的子菜单命令窗口,大家根据需要选择相应的操作命令。具体的大家可以通过练习来熟悉这些子菜单命令。

1.2.4Multisim 12的工具栏

Multisim 12提供了多种工具栏,并以层次化的模式加以管理,用户可以通过视图(View)菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具

栏,用户可以方便直接地使用软件的各项功能。

常用的工具栏有:标准(Standard)工具栏、主(Main)工具栏、视图查看(Zoom)工具栏,仿真(Simulation)工具栏。

1、标准工具栏包含了常见的文件操作和编辑操作,如下图1-4所示:

图1-4 标准工具栏

2、主工具栏控制文件、数据、元件等的显示操作,如下图1-5所示:

图1-5 主工具栏

3、仿真工具栏可以控制电路仿真的开始、结束和暂停,如下图1-6所示:

图1-6 仿真工具栏

4、视图查看工具栏,用户可以通过此栏方便地调整所编辑电路的视图大小,如下图1-7所示:

图1-7 视图工具栏

1.2.5Multisim 12的元件库

EDA软件所能提供的元器件的多少以及元器件模型的准确性都直接决定了该EDA软件的质量和易用性。Multisim 12为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。

Multisim 12以库的形式管理元器件,通过菜单栏下的工具/ 数据库/数据库管理器,打开数据库管理器窗口,如图1-8所示。

由图1-8中看出,Multisim 12的元件包含三个数据库,分别为主数据库、企业数据库和用户数据库。

图1-8 数据库管理器窗口

主数据库:库中存放的是软件为用户提供的元器件。

企业数据库:用于存放便于企业团队设计的一些特定元件,该库仅在专业版中存在。

用户数据库:是为用户自建元器件准备的数据库。

主数据库中包含20个元件库,它们是:信号源库、基本元件库、二极管元件库、晶体管元件库、模拟元件库、TTL元件库、CMOS元件库、MCU模块元件库、高级外围元件库、杂合类数字元件库、混合元件库、显示器件库、功率器件库、杂合类器件库、射频元件库、机电类元件库、梯形图设计元件库、PLD逻辑器件库、连接器元件库、NI元件库。各元件库下还包含子库。具体选用时可打开菜单栏中的工具栏/元器件工具栏进行选择。如图1-9所示。

图1-9 元器件工具栏

1.2.6Multisim 12的虚拟仪器库

对电路进行仿真运行,通过对运行结果的分析,判断设计是否正确合理,是EDA软件的一项主要功能。为此,Multisim为用户提供了类型丰富的20种虚拟仪器,可以从工具栏/仪器打开仪器工具栏,如下图1-10

所示。

图1-10 仪器工具栏

这20种仪器仪表在电子线路的分析中经常会用到。它们分别是:数字万用表、函数发生器、瓦特表、双通道示波器、4通道示波器、波特测试仪、频率计、字信号发生器、逻辑变换器、逻辑分析仪、伏安特性分析仪、失真分析仪、频谱分析仪、网络分析仪、安捷伦函数发生器、安捷伦万用表、安捷伦示波器、Tektronix示波器、探针和LabVIEW仪器。这些虚拟仪器仪表的参数设置、使用方法和外观设计与实验室中的真实仪器基本一致。在选用后,各种虚拟仪表都以面板的方式显示在电路中。

1.3Multisim 12的使用方法与实例

Multisim的基础是正向仿真,为用户提供了一个软件平台,允许用户在进行硬件实现以前,对

电路进行观测和分析。具体的过程分为五步:文件的创建、取用元器件、连接电路、仪器仪表的选

用与连接、电路分析。

为了帮助初学者轻松容易的掌握使用Multisim 12的使用要领,这节将结合一个电路实例的具

体实现过程来说明Multisim设计电路和分析中的使用方法。

例题:利用Multisim软件对下图1-11电路进行仿真分析R2两端的电压输出。

图1-11 电路图

1、文件的创建

启动Multisim 12,进入主界面窗口,选择菜单栏中的保存命令后,会弹出“保存”的窗口,选择合适的保存路径和输入所需的文件名“Example1”,然后点击保存按钮,完成新文件的创建,如图1-12。

图1-12 新建文件“Example1”

这里需要说明的是:

(1)文件的名字要能体现电路的功能,要让自己一年后看到该文件名就能一下子想起该文件实现了什么功能。

(2)在电路图的编辑和仿真过程中,要养成随时保存文件的习惯。以免由于没有及时保存而导致文件的丢失或损坏。

为了适应不同的需求和用户习惯,用户可以用菜单:“选项 电路图属性”打开电路图属性对话窗口,来定制用户的通用环境变量,如图1-13所示。

图1-13 电路图属性对话窗口

通过该窗口的6个标签选项,用户可以就编辑界面颜色、电路尺寸、缩放比例、自动存储时间等内容

作相应的设置。

以标签工作区为例,当选中该标签时,电路图属性对话框如图1-14所示:

图1-14 电路图属性的工作区标签

在这个对话窗口中有2个分项:

显示:可以设置是否显示网格,页边界以及边界。

电路图页面大小:设置电路图页面大小。

其余的标签选项在此不再详述,请大家自己打开查看。

2、取用元器件

在绘制电路图之前,需要先熟悉一下元件栏和仪器栏的内容,看看Multisim 12都提供了哪些电路元件和仪器。具体的可参见前面所涉及内容或其他参考资料。

根据所要分析电路,涉及到的元器件主要有电源、电阻和可变电阻。下面将以选用电源为例来详细说明选取及放置方法。

(1)元器件的选取:选取电源

选用元器件的方法有两种:从工具栏取用或从菜单取用。

从工具栏取用:打开元器件工具栏的小窗口。鼠标在元器件工具栏窗口中每个按钮上停留时,会有按钮名称提示出现。然后直接从元器件工具栏中点击“放置源”按钮,打开图1-15选择元器件

的窗口。

从菜单取用:从菜单中选择:绘图 元器件,就可打开选择元器件的窗口。该窗口与图1-15一样。

图1-15 选用元器件窗口

在选择一个元器件的窗口中,数据库的下拉框中选择“主数据库”,组的下拉框中选择“Sources”,然后,系列中选择“POWER_SOURCES”,最后元器件选择“DC_POWER”,符号框中就出现相应的直流电源的符号。如图1-15所示。最后点击“确认”按钮。

(2)放置元器件

上步点击确认按钮后,系统关闭元器件选去窗口,自动回到电路设计窗口,注意这时候跟随着鼠标的箭头旁边出现了直流电源的电路符号,随着鼠标的移动而移动。移动到需要位置,单击鼠标左键,就发现电路设计窗口就放置了一个直流电源。如需继续放置第二个、第三个……可以反复单击鼠标左键就可放置多个直流电源,一直到不需要时,单击鼠标右键,就退出了放置直流电源的状态。如图1-16所示。

图1-16 放置一个直流电源

(3)元器件属性修改

我们看到,放置的电源符号显示的是12V。我们的需要可能不是12V,而是10V。那怎么来修改呢?双击该电源符号,出现如图1-17所示的属性对话框,在该对话框里,可以更改该元件的属性。

图1-17 电源属性修改窗口

在这里,我们将电压改为10V。当然我们也可以更改元件的其他属性。修改后的电路图编辑窗口如图1-18。

图1-18 电源属性修改后的电路图

(4)元器件的移动和翻转

用户就可以对元器件进行移动、复制、粘贴等编辑工作。这些工作与Window说下其他软件操作方法一

致,这里就不再详细叙述。

放置好所需电源后,按照上述步骤,放置两个1.0K电阻和一个。

放置电阻时,元器件选择的对话窗口相应的参数选择:

“数据库”选项,选择“主数据库”。

“组”选项里选择“Basic”。

“系列”选项里电阻选择“RESISTOR”,可变电阻选择“POTENTIOMETER”。

“元件”选项里,电阻选择“1.0K”,可变电阻选择“10K”。

选取元件并放置完成后如图1-19所示,

图1-19 选取并初步放置元件

对图1-19中的元件进行移动和翻转,为后面连接电路做好准备,操作完成后的图见图1-20。

图1-20 移动并翻转元器件后的窗口

3、连接电路

将鼠标移动到电源的正极,当鼠标指针变成时,表示导线已经和正极连接起来了,单击鼠标将该连接点固定,然后移动鼠标到电阻R1的一端,出现小红点后,表示正确连接到R1了,单击鼠标左键固定,这样一根导线就连接好了。如下图1-21所示。如果想要删除这根导线,将鼠标移动到该导线的任意位置,

点击鼠标右键,选择“删除”即可将该导线删除。或者选中导线,直接按“delete”键删除。

图1-21 连接电源与R1

按照前面的方法,将各连线连接好后如图1-22所示。

图1-22 电路连线图

注意:在电路了放置一个公共地线,在电路图的绘制中,公共地线是必须的。

4、仪器仪表的选用与连接

对电路电阻R2的输出进行仿真分析,需要在R2两端添加万用表。可以从仪器的工具栏中选用万用表,添加方法类似元器件。双击万用表就会出现仪器面板,面板为用户提供观测窗口和参数设定按钮。添加万用表后并连线,完成后如图1-23所示。

图1-23 添加万用表后电路

5、电路仿真分析

电路连接完毕,检查无误后,就可以进行仿真了。点击仿真栏中的绿色开始按钮。电路进入仿真状态。双击图中的万用表符号,即可弹出如图1-24的对话框,在这里显示了电阻R2上的电压。R3是可调电阻,其调节百分比为20%,则在这个电路中,R3的阻值为2K。对于显示的电压值是否正确,我们可以验算一下。

图1-24 仿真结果图

在调试运行的过程中,大家可以通过按“A”或“Shift+A”键增减R3所接入电路的百分数,或者拖动R3旁边的滑动条。观察万用表的度数变化情况。

6、保存文件

电路图绘制完成,仿真结束后,执行菜单栏中的“文件/保存”可以自动按原文件名将该文件保存在原来的路径中。在对话框中选定保存路径,并可以修改文件名保存。

这样我们大致熟悉了如何利用Multisim 12来进行电路仿真。以后我们就可以利用电路仿真来学习我们的数字电路了。

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image T echnologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

MULTISIM使用介绍

您现在的位置是:仿真平台>仿真软件使用 Multisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。

Multisim使用简介

ⅤMultisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 命令功能 New 建立新文件 Open 打开文件 Close 关闭当前文件 Save 保存 Save As 另存为 New Project 建立新项目 Open Project 打开项目 Save Project 保存当前项目 Close Project 关闭项目 Version Control 版本管理 Print Circuit 打印电路 Print Report 打印报表 Print Instrument 打印仪表 Recent Files 最近编辑过的文件 Recent Project 最近编辑过的项目 Exit 退出Multisim 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。命令功能 Undo 撤消编辑 Cut 剪切 Copy 复制 Paste 粘贴 Delete 删除 Select All 全选 Flip Horizontal 将所选的元件左右翻转 Flip Vertical 将所选的元件上下翻转 90 ClockWise 将所选的元件顺时针90度旋转90 ClockWiseCW 将所选的元件逆时针90度旋转

Multisim基础使用方法详解

第2章Multisim9的基本分析方法 主要容 ? 2.1 直流工作点分析(DC Operating Point Analysis ) ? 2.2 交流分析(AC Analysis) ? 2.3 瞬态分析(Transient Analysis) ? 2.4 傅立叶分析(Fourier Analysis) ? 2.5 失真分析(Distortion Analysis) ? 2.6 噪声分析(Noise Analysis) ? 2.7 直流扫描分析(DC Sweep Analysis) ? 2.8 参数扫描分析(Parameter Sweep Analysis) 2.1 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路 为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。

注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 2.Analysis Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果

Multisim快速入门

Multisim 7的菜单栏 1. File (文件)菜单 File 菜单用于管理电路文件,如打开、存盘、打印和退出等17个文件操作命令。 2. Eidt (编辑)菜单 Edit 菜单用于在电路设计绘制过程中,提供对电路、元件及仪器进行各种处理,如剪切、粘贴、旋转等15种操作命令。其中大多数命令与Windows 应用软件基本相同,这里仅介绍Multisim 7特有的菜单命令。 ?Paste Special…:可以将所复制的电路或元件进行有选择地粘贴,如仅粘贴元件或连线等。 ?Delete Multi-Page::删除多页面电路文件中的某一页电路文件。 ?Select All (快捷键为Ctrl+A ) :选择当前窗口的所有项目。 ?Find (快捷键为Ctrl+F ) :查找电路图中的元件。 ?Flip Horizontal (快捷键为Alt+X ) :使选中的元件水平方向翻转。 ?Flip V ertical (快捷键为Alt+Y ) :使选中的元件垂直方向翻转。 ?90 Clockwise (快捷键为Ctrl+R ) :使选中的元件顺时针旋转900。 ?90 CounterCW (快捷键为Ctrl+Shift+R ) :使选中的元件逆时针旋转900。 ?Properties (快捷键为Ctrl+M ):打开一个已选中的元件属性对话框,对该元件的参数值、标识符等信息进行读取或修改。 3. View (窗口显示)菜单 View 菜单提供13个用于控制仿真界面上显示内容以及电路图缩放的操作命令。 ?Toolbars :显示或隐藏Standard Toolbar (标准工具条)、Component Toolbar (元件工具条)、Graphic Annotation Toolbar (图形注释工具条)、Instruments Toolbar (仪表工具条)、Simulation Switch (仿真开关)、Project Bar (项目栏)、Spreadsheet View (电路元件属性视窗)、Virtual Toolbar (虚拟工具条)、Customize (用户自定义栏)等工具栏。 ?Show Grid :设置是否显示栅格。 ?Show Page Bounds :设置是否显示纸张边界。 ?Show Title Block :设置是否显示标题栏(默认为选中)。 ?Show Border :设置是否显示边界(默认为选中)。 ?Show Ruler Bars :设置是否显示标尺工具条。 ?Zoom In (快捷键F8):放大电路原理图。 文件 窗口显示 帮助 仿真 工具 窗口 图7-4 Multisim 7的主菜单命令 选项

Multisim基础使用方法详解

M u l t i s i m基础使用方 法详解 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

第2章 Multisim9的基本分析方法 主要内容 ?直流工作点分析(DC Operating Point Analysis ) ?交流分析(AC Analysis) ?瞬态分析(Transient Analysis) ?傅立叶分析(Fourier Analysis) ?失真分析(Distortion Analysis) ?噪声分析(Noise Analysis) ?直流扫描分析(DC Sweep Analysis) ?参数扫描分析(Parameter Sweep Analysis) 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路

为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。 注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果 点击B图下部Simulate按钮,测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态工作点是否合理。如果不合理,可以

(完整版)Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

Multisim仿真软件的简要介绍资料讲解

Multisim仿真软件的简要介绍 Multisim是In terctive Image Tech no logies公司推出的一个专门用于电子电 路仿真和设计的软件,目前在电路分析、仿真与设计等应用中较为广泛。该软件以图形界面为主,采用菜单栏、工具栏和热键相结合的方式,具有一般Windows 应用软件的界面风格,用户可以根据自己的习惯和熟练程度自如使用。尤其是多种可放置到设计电路中的虚拟仪表,使电路的仿真分析操作更符合工程技术人员的工作习惯。下面主要针对Multisim11.0软件中基本的仿真与分析方法做简单介绍。 EDA就是“ Electronic Design Automation ”的缩写技术已经在电子设计领域得到广泛应用。发达国家目前已经基本上不存在电子产品的手工设计。一台电子产品的设计过程,从概念的确立,到包括电路原理、PCB版图、单片 机程序、机内结构、FPGA的构建及仿真、外观界面、热稳定分析、电磁兼容分析在内的物理级设计再到PCB钻孔图、自动贴片、焊膏漏印、元器件清 单、总装配图等生产所需资料等等全部在计算机上完成。EDA已经成为集成 电路、印制电路板、电子整机系统设计的主要技术手段。 功能: 1. 直观的图形界面 整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的; 2. 丰富的元器件 提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元 件各种参数进行编辑修改,能利用模型生成器以及代码模式创建模型等功能,创建自己的元器件。 3. 强大的仿真能力 以SPICE3F5和Xspice的内核作为仿真的引擎,通过Electronic workbench带有的增强设计功能将数字和混合模式的仿真性能进行优化。包括SPICE仿真、RF仿真、MCI B真、VHDL仿真、电路向导等功能。 4. 丰富的测试仪器 提供了22种虚拟仪器进行电路动作的测量: Multimeter(万用表)Function Generatoer(函数信号发生器)Wattmeter(瓦特表)Oscilloscope(示波器)Bode Plotter(波特仪)Word Generator(字符发生器Logic Analyzer(逻辑分析仪)Logic Converter(逻 辑转换仪)Distortion Analyer(失真度仪)Spectrum Analyzer(频谱仪)Network Analyzer(网络分析仪)Measurement Pribe(测量探针) Four Channel Oscilloscope(四踪示波器)Frequency Counter(频率计数器)IV An alyzer(伏安特性分析仪)Agile nt Simulated In strume nts(安捷伦仿真 仪器)Agile nt Oscilloscope(安捷伦示波器)Tektro nix Simulated

Multisim14使用multisim12元件库的方法

M u l t i s i m14使用 m u l t i s i m12元件库的 方法 -CAL-FENGHAI.-(YICAI)-Company One1

Multisim14使用multisim12元件库的方法 如题,步骤如下: 1、下载multisim12,multisim14,multisim12库文件。 2、安装multisim14,安装multisim12,安装方法及安装包自己百度 3、打开multisim12,导入multisim12库文件。工具----数据库----数据库管理 器---导入-----选择下载好的数据库,按照提示操作。 4、导入成功后,打开数据库管理器(打开顺序:工具----数据库---数据库管 理器),点击右下角的关于,查找已导入数据库的存放位置。如导入到用户数据,则复制用户数据库地址,如下图,我的存放地址为:C:\Users\Administrator\AppData\Roaming\National Instruments\Circuit Design Suite\\database

5、打开数据库存放位置,可看到当前数据库, usr文件为数据库文件。 6、关闭multisim12,运行multisim14,执行工具----数据库----转换数据库--- 选择v12→v14-----选择源数据库名称

7、打开到multisim12中usr库文件存放位置,即第四步所示地址,右下角 选择所有文件,这是可看到第三步导入的库文件存放文件,选择该文件,点击打开,点击开始,选择自动重命名或覆盖、忽略,点击确定。 8、等待导入结束后,即可使用。 该方法可用于其他版本数据库导入,如multisim10数据库导入multisim12或14等。 另外,也可以下载别人转换好的数据库文件,但是是否可行,有待验证。

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

Multisim基本操作

XXXX学院–物理与电子信息工程学院 实验报告 实验班级: 课程名称: 专业实训 实验名称: Multisim基本操作 指导教师: 实验日期: 2017.12.10 姓名: 学号:

实训目的 学会使用Multisim进行基本电路操作 实训内容 一、基本操作 1、菜单栏 菜单栏中有以下常用选择 File中有以下主要文件操作: New新建文件,Open打开文件,Close关闭文件,Close all关闭所有文件,Save保存文件,Save As另存文件,Print打印文件,Print Setup打印设置和Exit退出等。 Edit中常用的编辑操作有:undo撤退,redo前进,cut剪切,copy复制,paste粘贴,这些操作也可以在工具栏内快速选择。 View中常用的操作有:zoom in放大电路,zoom out缩小电路,zoom area以100%的比率来显示电路等。 Place中常用的放置操作有:component放置元器件,bus总线,text放置文字等。这些选择在工具栏内也有快捷选项。 Simulate中常用的仿真操作有:run运行,pause暂停,这些在工具栏内可直接操作。以及analyses仿真方法选择,Instruments 仪表选择,在仪表栏内可快速找到。 Reports中常用的报告操作有:bill of materials电路图使用器件报告,可以获取详细的所需器件列表,方便购买等。 Options中常用的常用的操作有:sheet properties选项中的主

要操作有circuit电路背景设置,workspace纸张大小设置,wiring 电线宽度设置,font字体设置等。 Window中常用的操作有:new window新窗口。 Help中常用的常用的操作有:multisim help可以查找关于软件的一些问题。 其他的像MCU 、Transfer以及Tools我们很少用到。 2、工具栏 主要的操作是元器件的选择,以及一些快捷操作,例如放大(缩小)页面,电路运行以及停止,文字输入(直接在电路工作区输入文字或者在文本描述框输入文字)等等。

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

multisim界面菜单工具栏介绍

multisim界面菜单工具栏介绍: 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 命令 功能 New 建立新文件 Open 打开文件 Close 关闭当前文件 Save 保存 Save As 另存为 New Project 建立新项目 Open Project 打开项目 Save Project 保存当前项目 Close Project 关闭项目 Version Control 版本管理 Print Circuit 打印电路 Print Report

打印报表 Print Instrument 打印仪表 Recent Files 最近编辑过的文件 Recent Project 最近编辑过的项目 Exit 退出Multisim 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。 命令 功能 Undo 撤消编辑 Cut 剪切 Copy 复制 Paste 粘贴 Delete 删除 Select All 全选 Flip Horizontal 将所选的元件左右翻转 Flip Vertical 将所选的元件上下翻转 90 ClockWise 将所选的元件顺时针90度旋转 90 ClockWiseCW 将所选的元件逆时针90度旋转 Component Properties 元器件属性 3.View 通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。 命令 功能 Toolbars

实验1:电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

multisim元件对照表

Multisim元件库分类介绍 电子仿真软件“Mumsim8.3.30特殊版”的元件库中把元件分门别类地分成13个类别,每个类别中又有许多种具体的元器件,为便于读者在创建仿真电路时寻找元器件,现将电子仿真软件“Mumsim8.3.30特殊版”元件库和元器件的中文译意整理如下,供读者参考。 电子仿真软件Mumsim8.3.30特殊版的元件工具条如图1所示。 图1 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示:

图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示: 图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示:

(5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示: 图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VIRTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。 (6). 选中“特殊功能运放(SPECIAL_FUNCTION)”,其“元件”栏中有165种规格特殊功能运放可供调用,主要包括测试运放、视频运放、乘法器/除法器、前置放大器和有源滤波器等。 3.点击“放置基础元件”按钮,弹出对话框中“系列”栏如图10所示。

NI multisim介绍

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。NI Multisim软件绝对是电子学教学的首选软件工具。 Ø 直观的图形界面 整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的; Ø 丰富的元器件 提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以及代码模式创建模型等功能,创建自己的元器件。 Ø 强大的仿真能力 以SPICE3F5和Xspice的内核作为仿真的引擎,通过Electronic workbench 带有的增强设计功能将数字和混合模式的仿真性能进行优化。包括SPICE仿真、RF仿真、MCU仿真、VHDL仿真、电路向导等功能。 Ø 丰富的测试仪器 提供了22种虚拟仪器进行电路动作的测量: Multimeter(万用表) Function Generatoer(函数信号发生器) Wattmeter(瓦特表) Oscilloscope(示波器) Bode Plotter(波特仪) Word Generator(字符发生器 Logic Analyzer(逻辑分析仪) Logic Converter(逻辑转换仪) Distortion Analyer(失真度仪) Spectrum Analyzer(频谱仪) Network Analyzer(网络分析仪) Measurement Pribe(测量探针) Four Channel Oscilloscope(四踪示波器) Frequency Counter(频率计数器) IV Analyzer(伏安特性分析仪) Agilent Simulated Instruments(安捷伦仿真仪器)

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

Multisim使用指导

Multi sim 原理图输入,仿真与可编程逻辑 入门指导

前言 祝贺您选择了Multisim。我们有信心将数年来增加的超级设计 功能交付给您。Electronics Worbench是世界领先的电路设计 工具供应商,我们的用户比其它任何的EDA开发商的用户都多。 所以我们相信,您将对Multisim以及您可能选择的任何其它 的Electronics Workbench产品所带来的价值感到满意。 文件惯例 当涉及到工具按钮时,相应的工具按钮出现在文字的左边。 虽然multisim的电路显示模式是彩色的,但本手册中以黑白 模式显示电路。(您可以将此定制成您喜好的设置) 当您看到这样的图标时,所描述的功能只有特定的版本才有。 用户可以购买相应的附加模块。 Multisim 用Menu/Item表示菜单命令。例如,File/Open表示 在File菜单中选择Open命令。 本手册用箭头( )表示程序信息。 Multisim文件系列 Multisim文件包括“Multisim入门指导”、“User Guide”和 在线帮助。所有的用户都会收到这两本手册的PDF版本。用户 还会收到所购买Multisim版本的印刷版手册。 入门指导 “入门指导”向您介绍Multisim界面,并指导您学习电路设 计(circuit)、仿真(similation)、分析(analysis)和报

告(reporting)。 User Guide “User Guide”详细介绍了Multisim的各项功能,它是基于 电路设计层次进行组织的,详细地描述了Multisim的各个方 面。 在线帮助 Multisim提供在线帮助文件系统以支持您使用,选择 Help/Multisim Manua l可显示详细描述Multisim程序的文件,或者选择Help/Multisim Help显示包含参考资料(来自于印 刷版的附录)的帮助文件,比如对Multisim所提供元器件的 详细介绍。所有的帮助文件窗口都是标准窗口,并提供内容列 表与索引。 Adobe PDF文件 Multisim光盘中提供“Getting Start and Tutorial”和“User Guide”的PDF文件,并且可从Windows的Start菜单进入。 目录 第一章导论

相关主题
文本预览
相关文档 最新文档