当前位置:文档之家› 北邮电子电路综合设计实验报告

北邮电子电路综合设计实验报告

北邮电子电路综合设计实验报告
北邮电子电路综合设计实验报告

北京邮电大学

电子电路综合设计实验报告

课题名称:函数信号发生器的设计

学院:信息与通信工程学院 班级:2013211123

姓名:周亮

学号:2013211123

班内序号:9

一、 摘要

方波与三角波发生器由集成运放电路构成,包括比较器与RC积分器组

成。

方波发生器的基本电路由带正反馈的比较器及RC组成的负反馈构成;

三角波主要由积分电路产生。三角波转换为正弦波,则是通过差分电路

实现。该电路振荡频率和幅度便于调节,输出方波幅度大小由稳压管的

稳压值决定,方波经积分得到三角波;而正弦波发生电路中两个电位器

实现正弦波幅度与电路的对称性调节,实现较理想的正弦波输出波形。

二、关键词: 函数信号发生器 方波 三角波 正弦波

三、设计任务要求

1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。

(1) 输出频率能在1--‐10KHz范围内连续可调,无明显失真。

(2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。

(3) 三角波Uopp=8V(误差小于20%)。

(4) 正弦波Uopp1V,无明显失真。

2. 提高要求:

(1) 输出方波占空比可调范围30%--‐70%。

(2) 三种输出波形的峰峰值Uopp均可在1V--‐10V内连续可调

电源电路

方波--‐三角波发生电路 正弦波发生电路

方波输

三角波输

正弦波输

现输出信号幅度的连续调节。利用二极管的单向导通性,将方波--‐三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。

五、分块电路和总体电路的设计过程

1. 方波--‐三角波产生电路

设计过程:

①根据所需振荡频率的高低和对方波前后沿陡度的要求,选择电压转换速率S R合适的运算放大器。

方波要求上升、下降沿小于10us,峰峰值为12V。LM741转换速率为0.7V/us,上升下降沿为17us,大于要求值。而LM318转换速率为70V/us,上升下降沿为0.17us,满足要求。故产生方波的比较器用LM318,产生三角波的反相发生器用LM741。

②根据所需输出方波幅度的要求,选择稳压值合适的稳压管VDW1、VDW2的型号和限流电阻R0的大小。

输出方波幅度要求为12V,所以选用稳压值为6V的稳压管。R0作用为限流,选择阻值2.7K。

③根据输出三角波的幅度要求,确定R1与R f的大小。

方波经积分得到三角波,幅度为U02m=(U Z+U D),要求中三角波峰峰值为8V,U Z+U D=6V,所以R1和R f的比值为2:3,故选取R1为20K,R f为30K。R3为平衡电阻,阻值为R1和R f并联的值,故R3取12K。

④根据所要求的振荡频率确定R2和C的大小。

方波和三角波的振荡频率相同,为f==,式中R2为本试验中的R5,C为

本实验中的C1。此处选择C1为3900pF,R5为4--‐6k,同时为了实现占空比可调,用10K 的电位器与两反向二极管如图所示连接来代替R5,可以实现了占空比可调。R4为平衡电阻,设置为6.8K。

原理图如下:

2.三角波--‐正弦波电路

设计过程:

静态工作点:

I r=I c4+I b3+I b4=I c4+2I b4= I c4+2 I c4/β≈I c4= I c3 I c4= I c3=(U cc+U ee--‐U be)/( R15+ R14)

本实验中,取I c3为1mA,故取 R15=20K,R14 =2K。

设定静态工作点的要先确定I

c3,

=R14 =2K。R8用来调整电路的对称性,不能取太大,选取总两管输入对称,故R

13

阻值为100Ω的电位器。并联电阻R

12用来减小差分放大器传输特性曲线的线性区。

C3 C4为隔直电容,为达到良好的隔直流、通交流的目的,其容值应该取的电容C

2

相对较大,实验中取值33uF。C

5为滤波电容,滤除谐波分量,改善正弦波形,

R6=100kΩ。R9与取值100nF。R

6调节三角波的幅度,可调范围应该比较大,故取

R16为平衡电阻,取值为R9= R16=3.3KΩ。流进T1,T2集电极电流为0.5mA,为满

R11=13kΩ,使得电流流经它们的电压降不至于足其正弦波的幅度大于1V,取R

10=

很大。易知:

(1)、差分放大器传输特性曲线越对称,线性区越窄越好;

(2)、三角波的幅度应正好使晶体管接近截止区。

三角波--‐正弦波变换过程:

3.总体电路

电路图(已实现全部扩展功能的电路):

电路设计:

由于正弦波的产生以三角波为基础,故将前一级方波--‐三角波电路处三角波

的输出接到第二级电路的输入即完成整体电路的连接。

六、所实现功能说明

1.基本功能

接入工作电压之后可以分别产生幅度和频率可调的方波、三角波、正弦波。

方波:

2.扩展功能

(1)占空比连续可调:

将原电路图的R

5改为这样的结构,利用二极管的单向导电性,电

位器的调节使两个方向串接的电阻值不同,使得电容C充电、放电的时间不同,

从而实现调节占空比的扩展功能。

(2)输出幅度连续可调:

将各个输出端与地之间接入大阻值电位器(小阻值电位器耗能大则信号幅

度最大值达不到要求),实验中选100K电位器,电位器的抽头处作为新的输出

端,实现输出信号幅度的连续调节,这样只改变输出三角波幅值,不会影响其他

电路。

2.实验照片

方波:

三角波

正弦波

频率1K和10K H Z

占空比及幅度可调

七、测试数据

三种输出波形的输出频率在1--‐10.3KHz 范围内连续可调,无明显失真。

方波的峰峰值为13V ,大于实验要求的峰峰值,误差为13%,小于20%,满足 实验要求。上升时间为520ns 、下降时间为560ns ,均小于10us 。

三角波的峰峰值为8.6V ,误差为7.5%,小于20%,满足实验要求。 正弦波的峰峰值为6.2V ,大于1V ,符合要求。 输出方波占空比可调范围大于30%--‐70%。

三种输出波形的峰峰值U opp

均可在1V--‐13V 内连续可调,大于实验要求的 1V--‐10V 。

八、故障及问题分析

1、方波的峰峰值过低或者过大,稳压管没有起到相应的作用。通过不

断改变Ro的值(由于Rw改变不方便,还调过它)最终得到满足要求的波形。

2、三角波的上升沿比较粗,下降沿比较细。通过改变R2和C1的值不

断改变波形频率,最后得到相对对称的三角波上升下降沿。

3、后级在直流工作点正常的情况下,没有正弦波的输出波形。通过逐

段测试发现在信号经过Rp1后就已经为零了,这个滑阻本应是调节正弦波的

幅度的,但粗浅分析应该会影响到前级的积分电路,将之换上一个调节方便

的10K欧电阻,调解过程中发现确实有所影响,在不断调节下得到了输出的

正弦波波形。

4、正弦波输出端输出的是三角波电压或是类似于香蕉波的波形,解决

方式是调整滤波电容的值,使之达到滤波的效果,最后输出比较圆滑的正弦

波。

5、在调节输出电压频率的时候,最后的正弦波电压输出幅度会有较大

的改变,这应是后级差放电路还不够稳定,几个电容的值还不是很好导致的,。

九、实验总结和结论

此次的电子电路综合实验设计历时四个周,从第一周的原理设计到第二、三

周的功能实现,再到第四周的实验验收和答辩,我经历了很多,发现了很多自己

的不足,学会了很多,我的能力提高了很多。

第一周中主要是选题和原理的设计,在选择了函数信号发生器的设计与调测后,我查阅了一些关于运放和差放的知识,根据实验教程上的知识设计了实验原理图,并通过计算得到了最初的元器件参数;

第二第三周进行了电路实现。之前进行的模电实验总是比较简单,电阻电容等元件用的都不多,所以插电路板的习惯并不规范,这次实验用的元件很多,第一次插第一级方波--‐三角波电路时没有剪短电阻引脚,使得电路很乱,给排错也

造成了困难,于是对插电路板进行了改进,把引脚剪短,不斜插元件,改用导线连接,电路变得清晰整洁了很多。

本实验用到了模电中运放比较器、积分器以及差分放大电路传输特性等知识,由于模电课在大二上学期已经结束,所以这些知识都有些忘记,理论知识掌握不牢靠导致电路设计时无从下手。于是将相关内容复习了一遍,之后理论设计部分顺利了许多。

做足功课并且规范电路搭建方法后,电路的搭建较为顺利,这样在第三周顺利得到了所有波形并完成了所有提高要求。虽然第四周的验收出现了一些小失误,但总的来说是合格的完成了实验。

实践出真知,通过这次综合性设计实验,在发现不足的同时,我学会了很多东西,我的能力得到了很大程度的提高。其中最关键的是动手能力的提高,第一次用面包板,第一次尝试做集成电路,第一次通过自己的努力完成了函数发生器的设计与调试使我将以前学习的理论知识与实际相结合,在巩固理论知识的同时,提高了动手能力。

实验需要耐心,本次实验对我的实践能力与理论分析能力都起到了很好的提高作用,同时也使我明白做事情一定要淡定,越忙乱会离成功越远,按部就班头脑清醒地排查错误,才能一步步走向实验的成功。

附录一 MULTISIM绘制的电路原理图

电路图(含拓展功能):

5

附录二 实际搭建电路图:

附录三 所用元器件及测试仪表清单

元器件

其他:

8050 4个

2DW232 1个

LM318 1个

LM741 1个

二极管 2个

仪器:

函数信号发生器

示波器

万用表

直流稳压电源

相关元件参数:

LM318芯片:

输入失调电压4mV; 偏置电流:150nA 增益带宽积:15MHz 转换速率:70V/uS 耗电流:5mA 电源:+/-12V

UA741芯片:

UA741:

输入失调电压0.8mV; 偏置电流:30nA

增益带宽积:1.5MHz 转换速率:0.7V/uS

耗电流:1.7mA 电源:+/-3V --- +/-22V

三极管:

8050

【1】刘宝玲.电子电路基础,1版.北京:高等教育出版社,2006.

【2】《电子测量与电子电路实验》 北京邮电大学电子工程学院电路中心

北京邮电大学 模拟电路实验

矿石收音机论坛?〓基础知识普及〓?面包板及其使用法 面包板及其使用法 面包板及其使用法 编者注:为了提高青少年的电子技术素养,促进学生全面发展,培养创业意识和创造技能,本刊(无线电)特约多年从事科普教育的特级教师,北京市有特殊贡献的专家孙心若撰写“电子控制技术入门”系列文章。他根据丰富的电子技术、发明创造教学体验,结合青少年的身心特点,进行有趣的“做中学”和“学中做”电路实验,引导青少年由表及里、由浅入深、循序渐进,获得“操作”体验,熏陶科学情感、发展技术能力,特别提供电子技术发展信息,增强创新意识并为他们展示创造能力营造条件。在内容选择上以电子控制技术内容为中心,以基本电路实验为基础,以数字集成电路为重点,并涉及实验所必需的基本理论及技能技巧,同时介绍青少年感兴趣的一些电子器件、小制作和小发明实例。配刊光盘中将用活动图像的形式讲解和演示这些电路实验的过程和现象,光盘中还加入了一些生活中的应用实例。 一、什么是"面包板"? 1.面包板的构造 面包板即"集成电路实验板",就是一种插件板,此"板"上具有若干小型"插座(孔)".在进行电路实验时,可以根据电路连接要求,在相应孔内插入电子元器件的引脚以及导线等,使其与孔内弹性接触簧片接触,由此连接成所需的实验电路。图1为SYB—118型面包板示意图: 为4行59列,每条金属簧片上有5个插孔,因此插入这5个孔内的导线就被金属簧片连接在一起。簧片之间在电气上彼此绝缘。插孔间及簧片间的距离均与双列直插式(DIP)集成电路管脚的标准间距2.54mm相同,因而适于插入各种数字集成电路。 2.面包板使用注意事项 插入面包板上孔内引脚或导线铜芯直径为0.4~0.6mm,即比大头针的直径略微细一点。元器件引脚或导线头要沿面包板的板面垂直方向插入方孔,应能感觉到有轻微、均匀的摩擦阻力,在面包板倒置时,元器件应能被簧片夹住而不脱落。面包板应该在通风、干燥处存放,特别要避免被电池漏出的电解液所腐蚀。要保持面包板清洁,焊接过的元器件不要插在面包板上。 3.面包板实验套材

课程设计实验报告 北邮

课程设计实验报告 -----物联网实验 学院:电子工程学院班级:2011211204 指导老师:赵同刚

一.物联网概念 物联网是新一代信息技术的重要组成部分。物联网的英文名称叫“The Internet of things”。顾名思义,物联网就是“物物相连的互联网”。这有两层意思:第一,物联网的核心和基础仍然是互联网,是在互联网的基础上延伸和扩展的网络;第二,其用户端延伸和扩展到了任何物体与物体之间,进行信息交换和通信。因此,物联网的定义是:通过射频识别(RFID)、红外感应器、全球定位系统、激光扫描器等信息传感设备,按约定的协议,把任何物体与互联网相连接,进行信息交换和通信,以实现对物体的智能化识别、定位、跟踪、监控和管理的一种网络。 二.物联网作用 现有成熟的主要应用包括: —检测、捕捉和识别人脸,感知人的身份; —分析运动目标(人和物)的行为,防范周界入侵; —感知人的流动,用于客流统计和分析、娱乐场所等公共场合逗留人数预警; —感知人或者物的消失、出现,用于财产保全、可疑遗留物识别等; —感知和捕捉运动中的车牌,用于非法占用公交车道的车辆车牌捕捉; —感知人群聚集状态、驾驶疲劳状态、烟雾现象等各类信息。 三.物联网无线传感(ZigBee)感知系统 ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。ZigBee在整个协议栈中处于网络层的位置,其下是由IEEE 802.15.4规范实现PHY(物理层)和MAC(媒体访问控制层),对上ZigBee提供了应用层接口。 ZigBee可以组成星形、网状、树形的网络拓扑,可用于无线传感器网络(WSN)的组网以及其他无线应用。ZigBee工作于2.4 GHz的免执照频段,可以容纳高达65 000个节点。这些节点的功耗很低,单靠2节5号电池就可以维持工作6~24个月。除此之外,它还具有很高的可靠性和安全性。这些优点使基于ZigBee的WSN广泛应用于工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。 ZigBee的基础是IEEE802.15.4,这是IEEE无线个人区域网工作组的一项标准,被称作IEEE802.15.4(ZigBee)技术标准。ZigBee不仅只是802.15.4的名字。IEEE仅处理低级MAC

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

计算机网络课程设计实验报告

校园网的组建与应用 摘要: 本文针对实验室的设备环境,对校园网的组网方式进行了研究和模拟,并最终提出了一套完整的校园网组网方案。 实验中我们对路由器、交换机等组网基础设备进行了认真的研究。关于路由器,我们实现了本地基本配置,并分别使用路由器的串口和以太网口实现了不同网段的网络互联,对路由器静态及动态路由机制进行了探究。关于交换机,我们实现了VLAN的划分以及不同VLAN间的相互通信,对广播风暴现象的产生原理及解决方案进行了特定的实验。综合两者的功能,我们对多种网络拓扑结构进行了分析,讨论和改进。最后通过实验和模拟提出了一套完整的校园网组建方案。 在此方案中,我们在实现了网络互通的情况下,我们进行了IP地址的划分,IP地址利用DHCP进行自动分配。并根据模拟实际,对不同的主机进行VLAN划分,同时保证不同VLAN间的相互访问与特定VLAN的保护与单向访问。同时构建内部防火墙保证校园网与外部的安全访问。构建了完整可靠的网络之后,依据校园网的功能和服务需求,我们搭建了FTP服务器,用于提供基础的网络服务。 限于实验室条件的限制,我们的方案并不是完全能够适用于现实的。但是,通过实验使我们对校园网乃至更大的网络有了更加深刻的了解。

目录

一、前言 随着信息的调整膨胀,全球信息已经进入以计算机网络为核心的时代。作为科技先导的教育行业,计算机校园网已是教育进行科研和现代化管理的重要手段。近几年、校园网已经取得很大的发展,中国教育科研网投入运营,全国多所高校校园网络开通联网。 随着学校教育手段的现代化,很多学校已经逐渐开始将学校的管理和教学过程向电子化方向发展,校园网的有无以及水平的高低也将成为评价学校及学生选择学校的新的标准之一,此时,校园网上的应用系统就显得尤为重要。一方面,学生可以通过它在促进学习的同时掌握丰富的计算机及网络信息知识,毫无疑问,这是学生综合素质中极为重要的一部分;另一方面,基于先进的网络平台和其上的应用系统,将极大的促进学校教育的现代化进程,实现高水平的教学和管理。 学校目前正加紧对信息化教育的规划和建设。开展的校园网络建设,旨在推动学校信息化建设,其最终建设目标是将建设成为一个借助信息化教育和管理手段的高水平的智能化、数字化的教学园区网络,最终完成统一软件资源平台的构建,实现统一网络管理、统一软件资源系统,并保证将来可扩展骨干网络节点互联带宽为10G,为用户提供高速接入网络,并实现网络远程教学、在线服务、教育资源共享等各种应用;利用现代信息技术从事管理、教学和科学研究等工作。最终达到在网络方面,更好的对众多网络使用及数据资源的安全控制,同时具有高性能,高效率,不间断的服务,方便的对网络中所有设备和应用进行有效的时事控制和管理。 二、综述 2.1 概述 从物理意义上来说,校园网就是一种局域网。校园网是各类型网络中一大分支,有着非常广泛的应用及代表性。作为新技术的发祥地,学校、尤其是高等院校,和网络的关系是密不可分的。作为“高新技术孵化器”的高校,是知识、人才的高地,资源十分丰富,比其他行业更渴求网络新技术、网络新应用,

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

北邮通电实验报告

实验3 集成乘法器幅度调制电路 信息与通信工程学院 2016211112班 苏晓玥杨宇宁 2016210349 2016210350

一.实验目的 1.通过实验了解振幅调制的工作原理。 2.掌握用MC1496来实现AM和DSB的方法,并研究已调波与调制信号,载波之间的关系。3.掌握用示波器测量调幅系数的方法。 二.实验准备 1.本实验时应具备的知识点 (1)幅度调制 (2)用模拟乘法器实现幅度调制 (3)MC1496四象限模拟相乘器 2.本实验时所用到的仪器 (1)③号实验板《调幅与功率放大器电路》 (2)示波器 (3)万用表 (4)直流稳压电源 (5)高频信号源 三.实验内容 1.模拟相乘调幅器的输入失调电压调节。 2.用示波器观察正常调幅波(AM)波形,并测量其调幅系数。 3.用示波器观察平衡调幅波(抑制载波的双边带波形DSB)波形。 四.实验波形记录、说明 1.DSB信号波形观察

2.DSB信号反相点观察 3.DSB信号波形与载波波形的相位比较 结论:在调制信号正半周期间,两者同相;负半周期间,两者反相。

4.AM正常波形观测 5.过调制时的AM波形观察(1)调制度为100%

(2)调制度大于100% (3)调制度为30% A=260.0mv B=140.0mv

五.实验结论 我们通过实验了解振幅调制的工作原理是:调幅调制就是用低频调制信号去控制高频振荡(载波)的幅度,使其成为带有低频信息的调幅波。目前由于集成电路的发展,集成模拟相乘器得到广泛的应用,为此本实验采用价格较低廉的MC1496集成模拟相乘器来实现调幅之功能。 DSB信号波形与载波波形的相位关系是:在调制信号正半周期间,两者同相;负半周期间,两者反相。 通过实验了解到了调制度的计算方法 六.课程心得体会 通过本次实验,我们了解了振幅调制的工作原理并掌握了实现AM和DSB的方法,学会计算调制度,具体见实验结论。我们对集成乘法器幅度调制电路有了更好的了解,对他有了更深入的认识,提高了对通信电子电路的兴趣。 和模电实验的单独进行,通电实验增强了团队配合的能力,两个人的有效分工提高了实验的效率,减少了一个人的独自苦恼。

北邮电路综合实验报告——串行口数据传输的仿真及硬件实现

北京邮电大学 信息与通信工程学院 电路综合实验报告 串行口数据传输的仿真及硬件实现 姓名: 学号: 班内序号: 班级: 指导老师: 日期:2014年10月10日

摘要: 本实验模拟了现代数字逻辑电路中的数据传输过程。使用连续的代表0、1的高低电平作为数字信号,将该数字信号从输出端发送到接收端,并分别用串行、并行两种方式进行锁存,检测。本实验模拟了序列信号的发生装置、串并转换装置、串行并行两种方式的检测装置、锁存输出和控制电路,实现了一个简单的串行口数据传输模型。在此试验中,通过对常见芯片的组合实现功能,将一串由0、1组成的数字信号进行传输、转换、检测,使之显示在数码管上成为可读信息。并且,还实现了对此电路显示的控制,使数码管在满足条件的情况下才点亮。在实验中,还使用了Qua rtusⅡ对设计的电路进行了仿真模拟。 关键字: 数据传输、串并转换、数据检测、QuartusII Abstract: This experiment simulated data transfer in modern digital logic circuit. Digital signal was transferred from the output terminal to the receiving end, which was consisted of

continuous high or low level represent 0 and 1 as digital signal, and latch, test it through serial or parallel mode. Our experiment simulated the producing equipment of sequence signal, the signal conversion module, testing module of serial and parallel mode, latch output and control circuit. It implements a simple serial port data communication model. In the experiment, we use the combination of simple chips to realize the function that transport, transfer and test a sequence of the digital signal consisting of 0 and 1, and display it on LED Segment Displays. In addition, we realize the control of display. The LED Segment Displays works only in specific conditions. We also conduct simulations on QuartusⅡ. Keywords: Data transmission, String conversion, Data detection, Quartus II 目录 一、实验目的 (4)

电子电路实验三-实验报告

电子电路实验三-实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验三负反馈放大电路 实验报告 一、实验数据处理 1.实验电路图 根据实际的实验电路,利用Multisim得到电路图如下: (1)两级放大电路 (2)两级放大电路(闭环)

(3)电流并联负反馈放大电路 2.数据处理 (1)两级放大电路的调试 第一级电路:调整电阻参数,使得静态工作点满足:IDQ约为2mA,UGDQ<-4V。记录并计算电路参数及静态工作点的相关数据(IDQ,UGSQ,UA,US、UGDQ)。 IDQ UGSQ UA US UGDQ 2.014mA-1.28V 5.77V7.05V-6.06V 第二级电路:通过调节Rb2,使得静态工作点满足:ICQ约为2mA,UCEQ=2~3V。记录电路参数及静态工作点的相关数据(ICQ,UCEQ)。 ICQ UCEQ 2.003mA 2.958V 输入正弦信号Us,幅度为10mV,频率为10kHz,测量并记录电路的电压放大倍数 A u1=U o1 U s 、A u= U o U s 及输入电阻Ri和输出电阻Ro。 Au1Au Ri Ro 0.783-152.790.75kΩ 3227.2Ω (2)两级放大电路闭环测试 在上述两级放大电路中,引入电压并联负反馈。合理选取电阻R的阻值,使得闭环电压放大

倍数的数值约为10。 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof -9.94638.2Ω232.9Ω(3)电流并联负反馈放大电路 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof 8.26335.0Ω3280.0Ω 3.误差分析 利用相对误差公式: 相对误差=仿真值?实测值 实测值 ×100% 得各组数据的相对误差如下表: 仿真值实测值相对误差 /% IDQ/mA 2.077 2.014 3.13 UA/V 5.994 5.770 3.88 UGDQ/V-5.994-6.060-1.09 ICQ/mA 2.018 2.0030.75 UCEQ/V 2.908 2.958-1.69 Au10.7960.783 1.66 Au-154.2-152.70.98 Ri/ kΩ90.7690.750.01

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北邮电子电路实验函数信号发生器实验报告教材

北京邮电大学 电子电路综合设计实验实验报告 实验题目:函数信号发生器 院系:信息与通信工程学院 班级: 姓名: 学号: 班内序号:

一、课题名称: 函数信号发生器的设计 二、摘要: 方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。 关键词:方波三角波正弦波频率可调幅度 三、设计任务要求: 1.基本要求: 设计制作一个方波-三角波-正弦波信号发生器,供电电源为±12V。 1)输出频率能在1-10KHZ范围内连续可调; 2)方波输出电压Uopp=12V(误差<20%),上升、下降沿小于10us; 3)三角波输出信号电压Uopp=8V(误差<20%); 4)正弦波信号输出电压Uopp≥1V,无明显失真。 2.提高要求: 1)正弦波、三角波和方波的输出信号的峰峰值Uopp均在1~10V范围内连续可调; 2)将输出方波改为占空比可调的矩形波,占空比可调范围30%--70% 四、设计思路 1. 结构框图 实验设计函数发生器实现方波、三角波和正弦波的输出,其可采用电路图有多种。此次 实验采用迟滞比较器生成方波,RC积分器生成三角波,差分放大器生成正弦波。除保证良 好波形输出外,还须实现频率、幅度、占空比的调节,即须在基本电路基础上进行改良。 由比较器与积分器组成的方波三角波发生器,比较器输出的方波信号经积分器生成三角

波,再经由差分放大器生成正弦波信号。其中方波三角波生成电路为基本电路,添加电位器调节使其频率幅度改变;正弦波生成电路采用差分放大器,由于差分放大电路具有工作点稳定、输入阻抗高、抗干扰能力较强等优点,特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。 2.系统的组成框图 五、分块电路与总体电路的设计 1.方波—三角波产生电路 电源电路 方波-三角波 发生电路 正弦波发生电路 方波输出 三角波输出 正弦波输出

北邮移动通信实验报告

信息与通信工程学院移动通信实验报告 班级: 姓名: 学号: 序号: 日期:

一、实验目的 1移动通信设备观察实验 1.1RNC设备观察实验 a) 了解机柜结构 b) 了解RNC机框结构及单板布局 c) 了解RNC各种类型以及连接方式 1.2基站设备硬件观察实验 a) 初步了解嵌入式通信设备组成 b) 认知大唐移动基站设备EMB5116的基本结构 c) 初步分析硬件功能设计 2网管操作实验 a) 了解OMC系统的基本功能和操作 b) 掌握OMT如何创建基站 二、实验设备 TD‐SCDMA移动通信设备一套(EMB5116基站+TDR3000+展示用板卡)、电脑。 三、实验内容 1TD-SCDMA系统认识 TD-SCDMA是英文Time Division-Synchronous Code Division Multiple Access(时分同步码分多址)的简称,TD-SDMA是由中国提出的第三代移动通信标准(简称3G),也是ITU批准的三个3G标准中的一个,以我国知识产权为主的、被国际上广泛接受和认可的无线通信国际标准。是我国电信史上重要的里程碑。 TD-SCDMA在频谱利用率、业务支持灵活性、频率灵活性及成本等方面有独特优势。TD-SCDMA由于采用时分双工,上行和下行信道特性基本一致,因此,基站根据接收信号估计上行和下行信道特性比较容易。TD-SCDMA使用智能天线技术有先天的优势,而智能天线技术的使用又引入了SDMA的优点,可以减少用户间干扰,从而提高频谱利用率。TD-SCDMA还具有TDMA的优点,可以灵活设置

上行和下行时隙的比例而调整上行和下行的数据速率的比例,特别适合因特网业务中上行数据少而下行数据多的场合。但是这种上行下行转换点的可变性给同频组网增加了一定的复杂性。TD-SCDMA是时分双工,不需要成对的频带。因此,和另外两种频分双工的3G标准相比,在频率资源的划分上更加灵活。 图1 3G网络架构 2硬件认知 2.1 RNC设备认知 TDR3000整套移动通信设备机框外形结构如图2所示。

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

电子电路测量实验(北邮)

北京邮电大学 电子电路综合设计实验 实验报告 课题名称:函数信号发生器 院系:电子工程学院

摘要 本实验的目的在于使用集成运算放大器设计一个方波—三角波—正弦波发生器。其中,由施密特触发器组成的多谐振荡器产生方波,再经积分运算电路产生三角波。最后,经过差分放大器,利用晶体管的非线性特性将三角波变换为正弦波。并要求波形达到一定的幅值、频率等要求。 关键词 函数信号发生器方波三角波正弦波集成运放 正文 一、设计任务要求 1基本要求 (1)信号输出频率在1~10kHz范围内连续可调,无明显失真。 (2)方波信号输出电压U opp=12V(误差≤20%),上升、下降沿小于10us,占空比范围为30%~70%。 (3)三角波信号输出电压U opp=8V(误差≤20%)。 (4)正弦波信号输出电压U opp≥1V 2提高要求 (1)将输出方波改为占空比可调的矩形波,占空比可挑范围为30%‐70%;

(2)三种波形的输出峰峰值U opp均可在1V-10V 范围内连续可调。 3+ 二、实验原理及设计过程 1总体思路 函数信号发生器的构成方法多样。本实验来看,可以先产生方波,由方波积分得到三角波,在由三角波经过整形得到正弦波;也可以先产生正弦波,将正弦波进行整形得到方波,在通过积分器产生三角波。在器件使用上,可以是分立元件电路,也可以采用集成电路。 根据提供的器材和资料,选择设计由集成运算放大器和晶体管放大器构成的方波—三角波—正弦波发生电路(如下图二)。 2原理结构框图 三、Multisim仿真过程及波形输出 1元器件选择

(1)方波—三角波发生电路 (最终电路见附录) ●芯片选择:对比uA741CP与LM318N的相关参数。选择转换速度较快 的LM318N作为矩形波发生电路的芯片,uA741CP作为三角波发生电路的芯片。 ●稳压管选择:根据方波U opp =12V,方波幅度限制在-(U Z+U D)~+(U Z+U D), 故选择稳压值为U Z =6V的稳压管。查阅资料,在Multisim中选择 1N4734A单稳压管,放置为稳压对管。 ●电阻电容选择: 根据方波和三角波输出峰峰值的要求(12V、8V),R f和R1的取值应 满足R f:R1=3:2。为使电路易起振,在这里取R f=30kΩ,R1=20kΩ。 根据直流平衡电阻的计算原理,得R3=(30||20)kΩ=12kΩ。 根据方波输出幅度选择限流电阻R o=2kΩ。 同时在三角波电路中,由公式R2C=αR f /4f R1 计算得R2=5kΩ,C=0.01 μF。 根据直流平衡电阻的计算方法,得R4=R2 =5kΩ。 为达到频率的可调范围,选择R p1=100kΩ的滑动变阻器。

数据结构 哈夫曼编码 实验报告

数据结构实验报告 实验名称:实验3——树(哈夫曼编/解码器) 学生姓名: 班级: 班内序号: 学号: 日期:2011年12月5日 1.实验要求 利用二叉树结构实现哈夫曼编/解码器。 基本要求: 1、初始化(Init):能够对输入的任意长度的字符串s进行统计,统计每个字符的频 度,并建立哈夫曼树 2、建立编码表(CreateTable):利用已经建好的哈夫曼树进行编码,并将每个字符的 编码输出。 3、编码(Encoding):根据编码表对输入的字符串进行编码,并将编码后的字符串输 出。 4、译码(Decoding):利用已经建好的哈夫曼树对编码后的字符串进行译码,并输出 译码结果。 5、打印(Print):以直观的方式打印哈夫曼树(选作) 计算输入的字符串编码前和编码后的长度,并进行分析,讨论哈夫曼编码的压缩效果。 并用I love data Structure, I love Computer。I will try my best to study data Structure.进行测试。 2. 程序分析 哈夫曼树结点的存储结构包括双亲域parent,左子树lchild,右子树rchild,还有字符word,权重weight,编码code 对用户输入的信息进行统计,将每个字符作为哈夫曼树的叶子结点。统计每个字符出现的次数作为叶子的权重,统计次数可以根据每个字符不同的ASCII码,根据叶子结点的权重建立一个哈夫曼树。 建立每个叶子的编码从根结点开始,规定通往左子树路径记为0,通往右子树路径记为1。由于编码要求从根结点开始,所以需要前序遍历哈夫曼树,故编码过程是以前序遍历二叉树为基础的。同时注意递归函数中能否直接对结点的编码域进行操作。 编码信息只要遍历字符串中每个字符,从哈夫曼树中找到相应的叶子结点,取得相应的编码。最后再将所有找到的编码连接起来即可。 译码则是将编码串从左到右逐位判别,直到确定一个字符。这就是哈夫曼树的逆过程。

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

电子琴的实验报告

单片机课程设计 设计题目电子琴 指导老师:苏 参与实验者:moxiaoxiao 专业:统本电信0801 地点:3#楼北楼605 电子琴 一.设计目的: (1).培养综合运用知识的能力 (2).朋友查阅资料,使用工程设计标准及编写设计文档的能力. (3).掌握单片机应用系统的设计方法. (4).提高计算机绘图能力 二.设计任务: 利用DP51PROC实验系统上的定时器/计数器,按键和蜂鸣器单元。用单片机I/O 口线控制蜂鸣器发出不同的音调,程序检测按键状态,7个按键中某一键按下时,蜂鸣器对应标称音阶. 三.设计与调试环境 KEIL uVision2 是众多单片机应用开发软件中优秀的软件之一,它支持众多不同公司的MCS51 架构的芯片,它集编辑,编译,仿真等于一体,同时还支持,PLM ,汇编和 C 语言的程序设计,它的界面和常用的微软VC++的界面相似,界面友好,易学易用,在调试程序,软件仿真方面也有很强大的功能。 1:按下面的步骤建立一个项目: 图1-4 选取芯片 图1-5 新建程序文件 (1)点击图1-5 中的 3 保存新建的程序,也可以用菜单File-Save 或快捷键Ctrl+S 进行保存。因是新文件所以保存时会弹出类似图1-3 的文件操作窗口,我们把第一个程序命名为,保存在项目所在的目录中,这时程序单词有了不同的颜色,说明KEIL 的 C 语法检查生效了。如图1-6 鼠标在屏幕左边的Source Group1 文件夹图标上右击弹出菜单,在这里可以做项目中增加减少文件等操作。我们选“Add File t o Group ‘SourceGroup 1’”弹出文件窗口,选择刚刚保存的文件,按ADD 按钮,关闭文件窗,程序文件已加到项目中了。这时在Source Group1 文件夹图标左边出现了一个小+号说明,文件组中有了文件,点击它可以展开查看。 图1-6 把文件加入到项目文件组中 编译程序 (2)进入调试模式,软件窗口样式大致如图1-8 所示。图中1 为运行,当程序处于停止状态时才有效,2 为停止,程序处于运行状态时才有效。3 是复位,模拟芯片的复位,程序回到最开头处执行。按

电子电路实验二 实验报告

实验二单管放大电路 实验报告 一、实验数据处理 1.工作点的调整 调节RW,分别使I =1.0mA,2.0mA,测量VCEQ的值。 CQ 2.工作点对放大电路的动态特性的影响 分别在ICQ=1.0mA,2.0mA情况下,测量放大电路的动态特性(输入信号vi是幅度为5mV,频率为1kHz的正弦电压),包括测量电压增益,输入电阻,输出电阻和幅频特性。 幅频特性:ICQ=1.0mA

得到幅频特性曲线如下图: ICQ=2.0mA 频率f/Hz 28 80 90 200 400 680 电压增益 18.60 47.10 51.69 88.63 116.44 128.31 |Av| 频率 0.4 0.6 0.8 1.2 2.0 2.5 f/MHz 电压增益 138.33 132.58 126.12 111.39 86.87 74.43 |Av| fL 245Hz fH 1.6MHz 得到的幅频特性曲线如下图: (注:电压增益均取绝对值,方便画图) 3.负反馈电阻对动态特性的影响 改接CE与RE2并联,测量此时放大电路在ICQ=1.0mA下的动态特性(输入信号及测试内容同上),与上面测试结果相比较,总结负反馈电阻对电路动态特性的影响。 电压增益Av 输入电阻Ri 输出电阻Ro -6.46 10792Ω3349Ω 幅频特性: 频率f/Hz 10 27 80 230 400 680 电压增益 3.83 5.61 6.25 6.41 6.42 6.43 |Av| 频率 0.1 0.5 0.7 1.0 2.0 2.8 f/MHz 电压增益 5.61 5.56 5.50 5.39 4.83 4.36

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

相关主题
文本预览
相关文档 最新文档