当前位置:文档之家› 基于数字滤波器的语音信号处理系统的设计要点

基于数字滤波器的语音信号处理系统的设计要点

基于数字滤波器的语音信号处理系统的设计要点
基于数字滤波器的语音信号处理系统的设计要点

基于数字滤波器的语音信号处理系统的设计

前言:语音信号的数字滤波就是利用快速傅里叶变换fft对语音信号进行频谱分析,利用分析得到的指标设计数字滤波器,将不需要的部分通过设计好的数字滤波器滤除掉,以达到优化语音的目的。数字滤波器是一种对数字信号进行处理的系统,数字滤波器完成数字信号滤波处理功能,其输入是一组数字量,其输出是经过变换的另一组数字量。它通过一定的运算关系或电路形式来改变输入信号所包含的频率成分的相对比例或滤出某些频率成分,数字滤波器具有稳定性高、精度高、灵活性大等优点。随着数字技术的发展,用数字技术实现滤波器的功能越来越受到人们的注意和广泛的应用。

摘要:本文介绍了两种数字滤波器的基本设计原理及步骤1、利用双线性变换法以及脉冲响应不变法设计IIR数字滤波器2、用窗函数法实现FIR数字滤波器的设计。并且把理论与实践结合,通过一段语音,利用MATLAB软件分析语音信号频谱,确定指标设计滤波器,编写程序设计滤波器,对语音信号进行滤波处理,分析滤波后的时域和频域特征,回放语音信号分析其经过信号处理后的效果。

正文

1、总体设计

1.1 总体设计内容

1)利用脉冲响应不变法和双线性变换法设计IIR数字滤波器,实现数字低通、数字高通、数字带通、数字带阻。

2)利用窗函数实现FIR数字滤波器的设计

3)设计完整的语音信号处理系统,可实现语音信号的读入、语音信号的频域分析、滤波器选择、语音信号的时/频域滤波操作、语音信号的短时频域分析等功能。

1.2 课设流程图设计

2、模块设计

2.1 语音信号的采集

用windows工具中的录音机录一段(语音信号),将语音信号的文件命名为test.wav.

将语音文件保存后,在MATLAB软件平台下,首先调用wavread函数可采集到录制的音乐信号,并得到其采样率fs和比特数bits。具体调用如下:>> [y,fs,bits]=wavread('d:\test.wav')%读取语音信号。

运行后得出fs,bit。其中参数y是每个样本的值,fs是生成该波形文件时的采样率,bits 是波形文件每样的编码位数。

sound(y); 用于对声音的回放。向量y则就代表了一个信号,也即一个复杂的“函数表达式”,也可以说像处理一个信号的表达式一样处理这个声音信号。

2.2 语音信号的时域及频域分析

语音信号分析是语音信号处理的前提和基础,只有分析出可表示语音信号本质特征的参数,才有可能利用这些参数进行高效的语音通信、语音合成和语音识别等处理。而且,语音合成的音质好坏,语音识别率的高低,也都取决于对语音信号分桥的准确性和精确性。因此语音信号分析在语音信号处理应用中具有举足轻重的地位。

2.2.1语音信号的时域分析

语音信号的时域分析就是分析和提取语音信号的时域参数。进行语音分析时,最先接触到并且也是最直观的是它的时域波形。语音信号本身就是时域信号,因而时域分析是最早使用,也是应用最广泛的一种分析方法,这种方法直接利用语音信号的时域波形。

运用matlab软件,调用plot函数,在读取语音信号的基础上画出语音信号的时域波形图。理想状态下,语音信号的时域波形应该是关于时间轴对称的,但是实际情况下,由于存在着一些噪音,使得波形看上去不是那么完美。

2.2.2语音信号的频域分析

对语音信号进行频谱分析。MATLAB提供了快速傅里叶变换算法FFT计算DFT的函数fft,调用格式如下:

Xk=fft(xn,N)

参数xn为被变换的时域序列向量,N是DFT变换区间的长度,当N大于xn时,fft函数自动在xn后面补零,当N小于xn的长度时,fft函数计算xn的前N个元素,忽略其后面的元素。在本次设计中,调用fft函数得到语音信号的快速傅里叶变换序列,再用plot函数画出信号的频谱图。

2.3 IIR数字滤波器的设计

IIR滤波器系统函数的极点可以再单位圆内的任何位置,实现IIR滤波器的阶次较低,所用的存储单元较少,效率高,又由于IIR数字滤波器能够保留一些模拟滤波器的优良特性,因

此应用很广。设计IIR数字滤波器的方法主要有基于脉冲响应不变法和双线性Z变换法设计,

数字高通、带通及带阻IIR 滤波器设计,基于MATLAB 函数直接设计IIR 数字滤波器。IIR 数字滤波器的特点如下:

1)系统的单位冲激响应h(n)为无限长的;

2)系统函数H(z)在有限z 平面上有极点存在;

3)结构上存在着输出到输入的反馈,也就是结构上是递归型的。

IIR 滤波器的设计就是在给定的技术指标下去确定滤波器的阶数N 和系数{i a ,i b }。在已满足给定的技术指标下,应选用阶数尽可能低的滤波器,因为滤波器的阶数越低,在实现时成本就越低。在设计IIR 滤波器时,最常用的方法是利用模拟滤波器来设计数字滤波器。

2.3.1 IIR 数字滤波器的设计步骤

1) 按一定规则将给出的数字滤波器的技术指标通带边界频率

p ω、通带最大最大衰减p α、阻带截止频率s ω、阻带最小衰减s α,转化为模拟低通滤波器的技术指标,主要是边界频率p ω、s ω的转换,p α、s α指标不变。

如果采用脉冲响应不变法,边界频率的转换关系为: ,,p

s

p s T T ωωΩ=Ω=

如果采用双线性变换法,边界频率的转换关系为: 22tan ,tan 22p s p s T T ωωΩ=Ω=

2)根据归一化频率,确定最小阶数N 和频率参数Wn 。归一化频率可以由以下公式得到:

3)根据转换后的技术指标,运用最小阶数设计模拟低通滤波器G(s)。

4)再按一定规则将G (s )转换成H (z )。若设计的数字滤波器是低通的,那么上述设计

工作可以结束,若设计的是高通,带通或带阻滤波器,那么还需进行一下步骤。

5)将高通、带通、或带阻数字滤波器的技术指标先转化为低通模拟滤波器的技术指标,然

后按上述步骤再进行设计。

2.3.2 双线性变换法的基本原理

双线性变换法的S 域与Z 域间的变换关系为:

[1()]/[1()]22T T Z s s =+- (1) 由式(1)可以看出,z 和s 之间可以直接代换,由于这是一非线性变换,需考察一下是否能把j Ω映射成单位圆,以及是否能将s 域左半平面映射到单位圆内部。

2

/)(实际模拟频率实际数字频率)/(实际模拟角频率归一化频率Fs Hz Fs s rad ==?=ππ

对于s 平面上的虚轴j Ω,用s=j Ω代入式(1)得: 2()2[1()]/[1()]22T j arctg j T T Z j j e e ω

Ω=+Ω-Ω==

可见:1,2arctan(

)2T Z ωΩ==

上面表示S 平面与Z 平面一一单位对应,S 平面的虚轴经映射后确已成为Z 平面的单位圆,但是Ω与ω为非线性关系,因此,通过双线性变换后两个滤波器的频率特性形状不能保持相同,双线性变换不存在混叠效应。

对于s 域的左半平面,用s j σ=+Ω代入式(1),得到: [1()()]/[1()()]22

T

T Z j j σσ=++Ω--Ω 由此得:

此式表明,当σ<0,有|z|<1,因而s 平面的左半平面被映射在单位圆内部,这意味着稳定的模拟滤波器经双线性变换可以映射成稳定的数字滤波器。

2.3.3脉冲响应不变法法的基本原理

设模拟滤波器的系统函数为()s H a ,相应的单位冲激响应是()t h a ,

()s H a =LT [()t h a ]。

LT [·]代表拉氏变换,对()t h a 进行等间隔采样,采样间隔为T ,得到()nt h a ,将

()()nT h n h a =作为数字滤波器的单位脉冲响应,那么数字滤波器的系统函数H(z)便是h(n)的Z 变换。因此脉冲响应不变法是一种时域逼近方法,它使h(n)在采样点上等于h a (t)。 但是,模拟滤波的设计结果是H a (s),所以下面基于脉冲响应不变法的思想,导出直接从H a (s)到

()z H 的

转换公式。

设模拟滤波器H a (s)只有单阶极点,且分母多项式的阶次高于分子多项式的阶次,将H a (s)用部分分式表示:

式中si 为H a (s)的单阶极点。将Ha(s)进行逆拉氏变换, 得到:

式中, u(t)是单位阶跃函数。对ha(t)进行等间隔采样,采样间隔为T ,得到:

对上式进行Z 变换,得到数字滤波器的系统函数H(z),即

H a (s)的极点i s 映射到z 平面的极点为T s i e ,系数A i 不变,通过模拟滤波器的系统传递函数可以直接求得数字滤波器的系统传递函数。

2.3.4基于MATLAB 函数直接设计IIR 数字滤波器

1)基于巴特沃斯法直接设计IIR 数字滤波器

尽管巴特沃斯滤波器的幅度特性是通带平坦,阻带单调下降,但是在满足相同的设计要求下,巴特沃斯滤波器的阶次一般都比切比雪夫滤波器和椭圆滤波器高。

在MATLAB 中,可以利用butter 函数直接设计各种形式的滤波器,它的语法为

[b,a]=butter(N,Wn)

[b,a]=butter(N,Wn,’ftype ’)

[b,a]=butter(N,Wn,’s ’)

[b,a]=butter(N,Wn, ’ftype ’ ,’s ’)

[z,p,k]=butter(…)

[A,B,C,D]=butter(…)

N 为滤波器阶数,Wn 为滤波器的截止频率,取值为0~1;‘ftype ’为滤波器类型参数:‘high ’为高通滤波器,截止频率为Wn; ‘stop ’为带阻滤波器,截止频率Wn =[W1,W2],默认为低通和带通滤波器;低通滤波器时,Wn 为截止频率;带通滤波器时,Wn=[W1,W2].

b,a 分别为滤波器传递函数分子和分母系数向量;z ,p ,k ,分别为滤波器的零点,极点和增益。

巴特沃斯滤波器的阶数可由MATLAB 中的buttord 函数确定,该函数的调用格式为

[N,Wn]=buttord(wp,ws,Rp,Rs),其中wp 表示通带截止频率,ws 表示阻带截止频率,Rp 表示通带纹波系数,Rs 表示阻带纹波系数,N 表示巴特沃斯滤波器的最小阶次,Wn 为其截止频率。

2)基于切比雪夫法直接设计IIR 数字滤波器

切比雪夫1型滤波器在通带是等波纹的,而在阻带是单调下降的。在MATLAB 中,可以利用cheby1函数直接设计各种形式的数字滤波器,该函数的调用格式为 [b,a]=cheby1(N,Rp,Wn)

[b,a]=cheby1(N,Rp,Wn,’ftype ’)

[b,a]=cheby1(N,Rp,Wn,’s ’)

[b,a]=cheby1(N,Rp,Wn, ’ftype ’ ,’s ’)

[z,p,k]=cheby1(…)

[A,B,C,D]=cheby1(…)

格式中,Rp 为通带波纹(dB );Wn 为截止频率,取值为0~1;在该频率处滤波器的幅值相应为-Rp ,其余参数和函数butter 的一样。

其阶次可以通过cheblord 函数来确定,该函数的调用格式为

[N,Wn]=cheblord(wp,ws,Rp,Rs). 切比雪夫II 型滤波器在阻带是等波纹的,而在通带是单调下降的。在MATLAB 中,可以利用cheby2函数,确定阶次的调用函数为cheb2ord ,调用格式为[N,Wn]=cheb2ord(wp,ws,Rp,Rs)。

3)基于椭圆法直接设计IIR 数字滤波器

相同条件下,椭圆滤波器的阶次最小,对参数量化灵敏度也较为灵敏,在MATLAB 中,可以利用ellip 函数直接设计各种形式的数字滤波器,其调用格式为:

[b,a]=ellip(N,Rp,Rs,Wn)

[b,a]=ellip(N,Rp,Rs,Wn,’ftype ’)

[z,p,k]=ellip(…)

[A,B,C,D]=ellip(…)

其中Rp 表示通带波纹最大衰减,Rs 表示阻带波纹最小衰减,其他参数同butter 函数。阶数可以通过ellipord 函数确定,该函数的调用格式为[N,Wn]=ellipord(wp,ws,Rp,Rs)。

2.4 FIR 数字滤波器的设计

有限长单位冲激响应滤波器,即FIR 数字滤波器具有下面几个特点:

1)系统的单位冲激响应h(n)在有限个n 值处不为零;

2)系统函数H(z)在|z|>0处收敛,在|z|<0处只有零,即有限z 平面上只有零点,儿全部极点都在z=0处(因果系统);

3)没有输出到输入的反馈,但有些结构中(例如频率抽样结构)也包含有反馈的递归部分。

FIR 滤波器是指在有限范围内系统的单位脉冲响应h[k]仅有非零值的滤波器。M 阶FIR 滤波器的系统函数H(z)为

0()[]M k

k H z h k z -==∑

其中H(z)是k z -的M 阶多项式,在有限的z 平面内H(z)有M 个零点,在z 平面原点z=0有M

个极点.

2.4.1 窗函数法FIR 数字滤波器的设计步骤

1)根据对阻带衰减及过渡带的指标要求,选择串窗数类型(矩形窗、三角窗、汉宁窗、哈

明窗、凯塞窗等),并估计窗口长度N 。先按照阻带衰减选择窗函数类型。原则是在保证阻带衰减满足要求的情况下,尽量选择主瓣的窗函数。

2)构造希望逼近的频率响应函数。

3)计算h(n).。

4)加窗得到设计结果

2.4.2 窗函数法FIR 数字滤波器的设计原理

窗函数设计法又称为傅里叶级数法。这种方法首先给出()j d H e Ω,

()j d H e Ω表要逼近的理想滤波器的频率响应,则由IDTFT 可得出滤波器的单位脉冲响应为

1[]()2j jk d d h k H e e d πππ

ΩΩ-=Ω? 由于是理想滤波器,故[]d h k 是无限长序列。但是我们所要设计的FIR 滤波器,其h[k]是有

限长的。为了能用FIR 滤波器近似理想滤波器,需将理想滤波器的无线长单位脉冲响应

[]d h k 分别从左右进行截断。当截断后的单位脉冲响应

[]d h k 不是因果系统的时候,可将其右移从 而获得因果的FIR 滤波器。

另一种设计方案是将线性相位因子(0.5)j M e

β-Ω+加入到理想滤波器的频率响应中,然后利 用IDTFT 计算出[]d h k 后,取[]d h k 在0≦k ≦M 范围的值为FIR 滤波器单位脉冲响应。

理想滤波器的频率响应()j d H e Ω和设计出的滤波器的频率响应

()j d H e Ω的积分平方差 定义为

2

21()()2j j d H e H e d π

πεπΩΩ-=-Ω? 2

ε也可以表示为 22[][]

d k h k h k ε∞=-∞=

-∑ 122201[][][][]M d d d k k k M h k h k h k h k -∞=-∞==+=

+-+∑∑∑

上式中的第一项和第三项与所设计出的滤波器参数是没有关系的,为了使上式中的第二项达 到最小,可选择

[][],0d h k h k k M

=≤≤

所以用上面的方法得出的滤波器是在积分平方误差最小意义下的最佳滤波器。

下面介绍一些常用的窗函数,用N=M+1表示窗函数的长度。

1)矩形窗 ()()10[]0k M k otherwise ω≤≤??=??? 矩形窗的主瓣宽度为

4N π

。用矩形窗设计的FIR 滤波器过渡带宽度近似为1.8N π。

2)Hanning 窗 20.50.5cos()(0)[]0()k k M M k otherwise πω?-≤≤?=???

(3-6)

Hanning 窗的主瓣宽度为8N π

。由Hanning 窗的定义可知,Hanning 窗在其两个端点的值为零,这就使得在实际的应用中不能利用两个端点的数据。我们可将N+2点的Hanning 窗除去两个端点来定义长度为N 的Hanning 窗。修改后的长度为N 的Hanning 窗定义为

2(1)0.50.5cos()(0)[]0()k k M M k otherwise πω+?-≤≤?=???

在Matlab 信号处理工具箱中所采用的就是这种修改后的定义方式。

3)Hamming 窗

对升余弦加以改进,可以得到旁瓣更小的效果,窗形式为

0.540.46cos(2/)(0)[]0()k M k M k otherwise πω-≤≤?=?? 与汉宁窗相比,主瓣宽度相同为

8N π

,但旁瓣幅度更小,旁瓣峰值小于主瓣峰

值的1%。

4)Blackman 窗

为了更进一步抑制旁瓣,可再加上余弦的二次谐波分量,得到Blackman 窗

240.420.5cos()0.08cos()(0)[]0()k k k M M M w k otherwise ππ?-+≤≤?=???

Blackman 窗的主瓣宽度为12N π

5)Kaiser 窗

此种窗是一种应用广泛的可调节窗,它可以通过改变窗函数的形状来控制窗函数旁

瓣的大小,从而在设计中可用滤波器的衰减指标来确定窗函数的形状。长度为N 的Kaiser 窗定义为

0[],0()k k M

I ω=≤≤

其中β是一个可调参数,可以通过改变β的值来调整窗函数的形状,从而达到不同的阻带衰减要求。上式中的

0()I x 是零阶第一类修正贝塞尔函数。可用幂级数表示为 201(/2)()1[]!n

n x I x n ∞==+∑

对于任意的一个实变量x ,函数的值都是正的。在实际计算中,上式的求和一般

取20项就能达到所需精度。随着参数β的增加,Kaiser 窗在两端的衰减是逐渐加大的。

2.4.3 基于MATLAB 函数设计FIR 数字滤波器

在窗函数法的Matlab 实现中,程序中经常使用的函数有fir1和kaiserord 。 程序中fir1函数的用法:b=fir1(n,Wn,’ftype ’,window)。

1)n 为滤波器的阶数

2)Wn 为滤波器的截止频率,它是一个0到1的数。如果Wn 是一个含有两个数的向量,则

函数返回一个带通滤波器

3)ftype 为滤波器的类型,ftype=’high ’时,设计的是高通滤波器;ftype=’stop ’时,设计的是带阻滤波器;没有此参数时,设计的是低通滤波器

4)window 为指定的窗函数,矩形窗为boxcar(n),汉宁窗为hanning(n),海明窗为

hamming(n),布莱克曼窗为blackman(n),凯撒窗为kaiser(n,beta),没有此参数时,

默认为hamming窗.

程序中kaiserord函数的用法:

[n,Wn,beta,ftype]=kaiserord(f,a,dev,Fs)

1)f是一个向量,为设计滤波器过渡带的起始点和结束点

2)a是一个向量,指定频率段的幅度值.

3)dev是一个向量,长度和a相同,为各个通带和阻带内容许的幅度最大误差

4)n为能够满足要求的滤波器的最小阶数

5)Wn为滤波器的截止频率

6)ftype为根据待设计滤波器的要求得到的滤波器的类型

高通滤波器是容许高频信号通过、但减弱(或减少)频率低于截止频率信号通过的滤波器。对于不同滤波器而言,每个频率的信号的减弱程度不同。它有时被称为低频剪切滤波器;

在音频应用中也使用低音消除滤波器或者噪声滤波器。低通滤波器与高通滤波器特性恰恰相反。

3、仿真波形图

原始信号时域与频域波形图如下

观察图可知,原始语音信号的频率分布在1000hz和11000hz左右。

根据语音信号的特点给出有关滤波器的技术指标

1)低通滤波器性能指标:fp=1000Hz,fc=1200Hz,As=100dB,Ap=1dB;

2)高通滤波器性能指标:fp=11000Hz,fc=12000Hz,As=100dB,Ap=1dB;

3)带通滤波器性能指标:fp1=0Hz,fc1=2000Hz,fp2=10000Hz fc2=12000Hz As=100dB,Ap=1dB;

利用程序得出的巴特沃斯IIR低通滤波器如下图

经其滤波后信号的频谱对比为

4、心得体会

经过为期两周的专业综合训练,我完全自主地完成了既定的理论任务,实现了综合训练的部分要求,这使我对DSP课程有了更深一步的认识和了解,要想学好它重在实践,要通过不断的实际操作才能更好地学习和运用知识,并且基本掌握了运用MATLAB软件进行滤波器的设计。但是由于时间关系没能完整的完成程序部分。通过这一实践环节,加深了我对数字信号处理知识的理解,也提高了我运用所学知识分析问题和解决实际问题的能力。在此过程中我也发

现自己好多不足之处,首先是自己对所学的基础知识掌握不深,还有对理论知识的运用还有欠缺。

参考文献

[1]范寿康. DSP技术与DSP芯片[M]. 北京:电子工业出版社

[2]万永革. 数字信号处理的MATLAB实现[M]. 北京:科学出版社, 2007

[3]程佩青. 数字信号处理教程[M]. 北京:清华大学出版社出版,2001

[4]薛年喜. MATLAB在数字信号处理中的应用(第2版)[M]. 北京:清华大学出版社,2008.1

[5]陈亚勇等. MATLAB信号处理详解[M]. 北京:人民邮电出版社,2001

[6]徐命运,刘增力等. MATLAB仿真在信号处理中的应用[M]. 北京:西安电子科技大学出版社

[7]施晓红,周佳. 精通GUI图形界面编程[M]. 北京:北京大学出版社,2003.1

[8]王世一. 数字信号处理[M]. 北京:北京理工大学出版社,2001

滤波器设计步骤及实现程序

数字滤波器的设计步骤及程序实现 湖南理工学院信息与通信工程学院 一、IIR 脉冲响应不变法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=pi, T /ω=Ω 3、求原型模拟滤波器的c N Ω,,其中:??? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/)()( 6、将)(s H a 化为部分分式展开形式∑-=k k a s s A s H )( 7、写出)(z H 的极点T s k k e z =,并写出)(z H 的部分分式展开形式∑--?= 11)(z z A T z H k k 8、将)(z H 化为分子分母形式,验证设计结果。 二、IIR 双线性变换法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=2, 2 tan 2ω?= ΩT 3、求原型模拟滤波器的c N Ω,,其中:?? ? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/) ()( 6、用11 112--+-?=Z Z T s 代入原型系统函数)(s H a 得1 1 112)()(--+-? ==Z Z T s a s H z H 8、将)(z H 整理成分子分母形式,验证设计结果。

FIR数字滤波器设计与使用

实验报告 课程名称:数字信号处理指导老师:刘英成绩:_________________实验名称: FIR数字滤波器设计与使用同组学生姓名:__________ 一、实验目的和要求 设计和应用FIR低通滤波器。掌握FIR数字滤波器的窗函数设计法,了解设计参数(窗型、窗长)的影响。 二、实验内容和步骤 编写MATLAB程序,完成以下工作。 2-1 设计两个FIR低通滤波器,截止频率 C =0.5。 (1)用矩形窗,窗长N=41。得出第一个滤波器的单位抽样响应序列h 1(n)。记下h 1 (n) 的各个抽样值,显示h 1 (n)的图形(用stem(.))。求出该滤波器的频率响应(的N 个抽样)H 1(k),显示|H 1 (k)|的图形(用plot(.))。 (2)用汉明窗,窗长N=41。得出第二个滤波器的单位抽样响应序列h 2(n)。记下h 2 (n) 的各个抽样值,显示h 2(n)的图形。求出滤波器的频率响应H 2 (k),显示|H 2 (k)|的 图形。 (3)由图形,比较h 1(n)与h 2 (n)的差异,|H 1 (k)|与|H 2 (k)|的差异。 2-2 产生长度为200点、均值为零的随机信号序列x(n)(用rand(1,200)0.5)。显示x(n)。 求出并显示其幅度谱|X(k)|,观察特征。 2-3 滤波 (1)将x(n)作为输入,经过第一个滤波器后的输出序列记为y 1(n),其幅度谱记为|Y 1 (k)|。 显示|X(k)|与|Y 1 (k)|,讨论滤波前后信号的频谱特征。 (2)将x(n)作为输入,经过第二个滤波器后的输出序列记为y 2(n),其幅度谱记为|Y 2 (k)|。 比较|Y 1(k)|与|Y 2 (k)|的图形,讨论不同的窗函数设计出的滤波器的滤波效果。 2-4 设计第三个FIR低通滤波器,截止频率 C =0.5。用矩形窗,窗长N=127。用它对x(n)进行滤波。显示输出信号y

巴特沃斯数字低通滤波器

目录 1.题目.......................................................................................... .2 2.要求 (2) 3.设计原理 (2) 3.1 数字滤波器基本概念 (2) 3.2 数字滤波器工作原理 (2) 3.3 巴特沃斯滤波器设计原理 (2) 3.4脉冲响应不法 (4) 3.5实验所用MA TLAB函数说明 (5) 4.设计思路 (6) 5、实验内容 (6) 5.1实验程序 (6) 5.2实验结果分析 (10) 6.心得体会 (10) 7.参考文献 (10)

一、题目:巴特沃斯数字低通滤波器 二、要求:利用脉冲响应不变法设计巴特沃斯数字低通滤波器,通带截止频率100HZ,采样频率1000HZ ,通带最大衰减为0.5HZ ,阻带最小衰减为10HZ ,画出幅频、相频相应相应曲线。并假设一个信号x(t)=sin(2*pi*f1*t)+sin(2*pi*f2*t),其中f1=50HZ,f2=200HZ 。用此信号验证滤波器设计的正确性。 三、设计原理 1、数字滤波器的基本概念 所谓数字滤波器,是指输入、输出均为数字信号,通过数值运算处理改变输入信号所含频率成分的相对比例,或者滤波器除某些频率成分的数字器件或程序,因此,数字滤波的概念和模拟滤波相同,只是的形式和实现滤波方法不同。正因为数字滤波通过数值运算实现滤波,所以数字滤波处理精度高、稳定、体积小、质量轻、灵活、不存在阻抗匹配问题,可以实验模拟滤波器无法实现的特殊滤波功能。如果要处理的是模拟信号,可通过A\DC 和D\AC,在信号形式上进行匹配转换,同样可以使用数字滤波器对模拟信号进行滤波。 2、数字滤波器的工作原理 数字滤波器是一个离散时间系统,输入x(n)是一个时间序列,输出y(n)也是一个时间序列。如数字滤波器的系统函数为H(Z),其脉冲响应为h(n),则在时间域内存在下列关系 y(n)=x(n) h(n) 在Z 域内,输入输出存在下列关系 Y(Z)=H(Z)X(Z) 式中,X(Z),Y(Z)分别为输入x(n)和输出y(n)的Z 变换。 同样在频率域内,输入和输出存在下列关系 Y(jw)=X(jw)H(jw) 式中,H(jw)为数字滤波器的频率特性,X(jw)和Y(jw)分别为x(n)和y(n)的频谱。w 为数字角频率,单位rad 。通常设计H(jw)在某些频段的响应值为1,在某些频段的响应为0.X(jw)和H(jw)的乘积在频率响应为1的那些频段的值仍为X(jw),即在这些频段的振幅可以无阻碍地通过滤波器,这些频带为通带。X(jw)和H(jw)的乘积在频段响应为0的那些频段的值不管X(jw)大小如何均为零,即在这些频段里的振幅不能通过滤波器,这些频带称为阻带。 一个合适的数字滤波器系统函数H(Z)可以根据需要输入x(n)的频率特性,经数字滤波器处理后的信号y(n)保留信号x(n)中的有用频率成分,去除无用频率成分。 3、巴特沃斯滤波器设计原理 (1)基本性质 巴特沃斯滤波器以巴特沃斯函数来近似滤波器的系统函数。巴特沃斯滤波器是根据幅频特性在通频带内具有最平坦特性定义的滤波器。 巴特沃思滤波器的低通模平方函数表示1 () ΩΩ+ =Ωc N /22 a 11 ) (j H

IIR数字滤波器设计原理

IIR 数字滤波器设计原理 利用双线性变换设计IIR 滤波器(只介绍巴特沃斯数字低通滤波器的设计),首先要设计出满足指标要求的模拟滤波器的传递函数)(s H a ,然后由)(s H a 通过双线性变换可得所要设计的IIR 滤波器的系统函数)(z H 。 如果给定的指标为数字滤波器的指标,则首先要转换成模拟滤波器的技术指标,这里主要是边界频率 s p w w 和的转换,对s p αα和指标不作变化。边界频率的转换关系为)21tan(2w T =Ω。接着,按照模拟低通滤波器的技术指标根据相应 设计公式求出滤波器的阶数N 和dB 3截止频率c Ω;根据阶数N 查巴特沃斯归一 化低通滤波器参数表,得到归一化传输函数 )(p H a ;最后,将c s p Ω=代入)(p H a 去归一,得到实际的模拟滤波器传输函数)(s H a 。之后,通过双线性变换法转换公式 11 112--+-=z z T s ,得到所要设计的IIR 滤波器的系统函数)(z H 。 步骤及内容 1) 用双线性变换法设计一个巴特沃斯IIR 低通数字滤波器。设计指标参数为: 在通带内频率低于π2.0时,最大衰减小于dB 1;在阻带内[]ππ,3.0频率区间上,最小衰减大于dB 15。 2) 以π02.0为采样间隔,绘制出数字滤波器在频率区间[]2/,0π上的幅频响应特 性曲线。 3) 程序及图形 程序及实验结果如下: %%%%%%%%%%%%%%%%%%

%iir_1.m %lskyp %%%%%%%%%%%%%%%%%% rp=1;rs=15; wp=.2*pi;ws=.3*pi; wap=tan(wp/2);was=tan(ws/2); [n,wn]=buttord(wap,was,rp,rs,'s'); [z,p,k]=buttap(n); [bp,ap]=zp2tf(z,p,k); [bs,as]=lp2lp(bp,ap,wap); [bz,az]=bilinear(bs,as,.5); [h,f]=freqz(bz,az,256,1); plot(f,abs(h)); title('双线性z 变换法获得数字低通滤波器,归一化频率轴'); xlabel('\omega/2\pi'); ylabel('低通滤波器的幅频相应');grid; figure; [h,f]=freqz(bz,az,256,100); ff=2*pi*f/100; absh=abs(h); plot(ff(1:128),absh(1:128)); title('双线性z 变换法获得数字低通滤波器,频率轴取[0,\pi/2]'); xlabel('\omega'); ylabel('低通滤波器的幅频相应');grid on; 运行结果: 00.050.10.150.20.25 0.30.350.40.450.500.1 0.2 0.3 0.40.50.60.70.8 0.9 1 双线性z 变换法获得数字低通滤波器,归一化频率轴 ω/2π低通滤波器的幅频相应

数字滤波器的设计课程设计

数字信号及MATLAB实现课程设计报告数字滤波器的设计 学院:电气学院 班级: 姓名: 学号: 指导老师: 2014年1月

《数字信号处理及MA TLAB实现》课程设计 目录 目录 (1) 第一章绪论 (2) 1.1.1 数字滤波器的优越性 (2) 1.1.2 数字滤波器的实现方法 (3) 1.1.3主要研究内容 (4) 第二章摘要 (5) 第三章报告正文 (6) 第一节 IIR滤波器的设计 (6) 3.1.1流程框图 (6) 3.1.2 设计步骤 (6) 3.1.3 IIR数字滤波器的设计方法 (7) 3.1.4 MATLAB程序 (9) 3.1.5 运行结果及分析: (10) 第二节 matlab FDATool界面数字滤波器设计 (11) 3.2.1 Faldstool (11) 3.2.2 用Fdatool进行带通滤波器设计 (13) 第三节系统对象滤波器设计 (15) 3.3.1设定系统的仿真对象 (15) 3.3.2系统对象滤波器设计方法 (15) 3.3.3 MATLAB程序仿真设计 (15) 第四章总结 (21) 参考文献 (22)

第一章绪论 1.1.1 数字滤波器的优越性 数字信号处理由于具有精度高、灵活性强等优点,已广泛应用于图像处理、数字通信、雷达等领域。数字滤波技术在数字信号处理中占有极其重要的地位,数字滤波器根据其单位脉冲响应可分为IIR(无限长冲激响应滤波器)和FIR(有限长冲激响应滤波器)两类。IIR滤波器可以用较少的阶数获得很高的选择特性,但在有限精度的运算中,可能出现不稳定现象,而且相位特性不好控制。数字滤波器本质上是一个完成特定运算的数字计算过程,也可以理解为是一台计算机。 数字滤波器又分为无限冲激响应滤波器(IIR)和有限冲激响应滤波器(FIR)。FIR滤波器具有不含反馈环路、结构简单以及可以实现的严格线性相位等优点,因而在对相位要求比较严格的条件下,采用FIR数字滤波器。同时,由于在许多场合下,需要对信号进行实时处理,因而对于单片机的性能要求也越来越高。由于DSP控制器具有许多独特的结构,例如采用多组总线结构实现并行处理,独立的累加器和乘法器以及丰富的寻址方式,采用DSP控制器就可以提高数字信号处理运算的能力,可以对数字信号做到实时处理。DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C5509作为DSP处理芯片,通过对其编程来实现IIR滤波器。 对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是IIR系统与传统的通过硬件电路实现的模拟滤波器相比有以下优点: 1、单位冲击响应有无限多项; 2、高效率(因为结构简单、系数小、乘法操作较少) 3、与模拟滤波器有对应关系 4、可以解析控制,强制系统在指定位置为零点 5、有极点,在设计时要考虑稳定性 6、具有反馈,可能产生噪声、误差累积

IIR数字滤波器的设计流程图讲课讲稿

目录 目录 0 前言 (1) 1.1数字滤波器简介 (1) 1.2使用数字滤波器的原因 (1) 1.3设计的原理和内容 (1) 工程概况 (2) 正文 (2) 3.1 设计的目的和意义 (2) 3.2 目标和总体方案 (2) 3.3 设计方法和内容 (3) 3.4 硬件环境 (3) 3.5软件环境 (3) 3.6IIR数字滤波器设计思路 (3) 3.7 IIR数字滤波器的设计流程图 (3) 3.8 IIR数字滤波器设计思路 (4) 3.9设计IIR数字滤波器的两种方法 (4) 3.10双线性变换法的基本原理 (5) 3.11用双线性变换法设计IIR数字滤波器的步骤 (6) 3.12程序源代码和运行结果 (6) 3.12.1低通滤波器 (6) 3.12.3带通滤波器 (10) 3.12.4带阻滤波器 (13) 3.13结论 (15) 3.13.1存在的问题 (15) 3.13.2解决方案 (16) 致谢 (16)

参考文献 (16) 前言 1.1数字滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。可以设计系统的频率响应,让它满足一定的要求,从而对通过该系统的信号的某些特定的频率成分进行过滤,这就是滤波器的基本原理。如果系统是一个连续系统,则滤波器称为模拟滤波器。如果系统是一个离散系统,则滤波器称为数字滤波器。 信号通过线性系统后,其输出信号就是输入信号和系统冲激响应的卷积。从频域分析来看,信号通过线性系统后,输出信号的频谱将是输入信号的频谱与系统传递函数的乘积。除非为常数,否则输出信号的频谱将不同于输入信号的频谱,某些频率成分较大的模,因此,中这些频率成分将得到加强,而另外一些频率成分的模很小甚至为零,中这部分频率分量将被削弱或消失。因此,系统的作用相当于对输入信号的频谱进行加权。 1.2使用数字滤波器的原因 数字滤波器具有比模拟滤波器更高的精度,甚至能够实现后者在理论上也无法达到的性能。数字滤波器相比模拟滤波器有更高的信噪比。数字滤波器还具有模拟滤波器不能比拟的可靠性。根据其冲击响应函数的时域特性可将数字滤波器分为IIR(有限长冲击响应)和FIR(无限长冲击响应)。 1.3设计的原理和内容 在windows环境下进行语言信号采集,通过IIR数字滤泼器的设计,数字带滤波器就是用软件来实现上面的滤波过程,可以很好的克服模拟滤波器的缺点,数字带滤波器的参数一旦确定,就不会发生变化。IIR型有较好的通带与阻带特性,所以,在一般的设计中选用IIR 型。IIR型又可以分成Butterworth型滤波器,ChebyshevII型滤波器和椭圆型滤波器等。 IIR数字滤波器的设计一般是利用目前已经很成熟的模拟滤波器的设计方法来进行设计,通常采用模拟滤波器原型有butterworth函数、chebyshev函数、bessel函数、椭圆滤波器函数等。 IIR数字滤波器的设计步骤: (1)按照一定规则把给定的滤波器技术指标转换为模拟低通滤波器的技术指标; (2)根据模拟滤波器技术指标设计为响应的模拟低通滤波器; (3)很据脉冲响应不变法和双线性不变法把模拟滤波器转换为数字滤波器;

数字滤波器设计与分析

吉林大学仪器科学与电气工程学院本科生实习报告 实习题目:信号分析和处理 实习时间:2012.09 专业:电气工程及其自动化 所在班级:65100615 学生姓名:王双伟 指导教师:朱凯光田宝凤林婷婷

信号实习报告 一.实验目的 加深对信号系统与信号处理理论的理解,学会信号处理的基本知识和方法,并在基本技能方面得到系统训练;熟悉MA TLAB编程环境,掌握MA TLAB编程基本技能,以及程序调试仿真方法,能够采用MATLAB语言和工具进行信号处理;掌握现代信号分析与处理技术,包括信号频谱分析和数字滤波器(FIR、IIR)设计,学会信号处理系统设计与系统功能检测的基本方法;将理论知识与实际应用结合,提高学生解决实际问题的动手能力,为信号系统与信号处理知识的应用、后续专业学习以及今后从事相关科学研究和实际工作打下坚实基础。二.实验工具 计算机,matlab软件 三.实验内容 设计FIR数字带通滤波器,对于给定函数s=sin(2πx100t)+sin(2πx200t)+sin(2πx400t),设计带通滤波器滤除100和400赫兹的频率,并画出滤波前后的时频图及滤波器的增益图。 f1=100;f2=200;f3=400; fs=2000; m=(0.3*f1)/(fs/2); M=round(8/m); N=M-1; fc=[0.15,0.3]; b=fir1(N,fc); figure(1) [h,f]=freqz(b,1,1000); plot(f*fs/(2*pi),20*log10(abs(h))) xlabel('频率/赫兹'); ylabel('增益/分贝'); title('滤波器的增益响应'); figure(2) subplot(211) t=0:1/fs:0.5; s=sin(2*pi*f1*t)+sin(2*pi*f2*t)+sin(2*pi*f3*t); plot(t,s); xlabel('时间/秒'); ylabel('幅度'); title('信号滤波前时域图');

切比雪夫1型数字低通滤波器

目录 1. 数字滤波器的设计任务及要求 (2) 2. 数字滤波器的设计及仿真 (2) 2.1数字滤波器的设计 (3) 2.2数字滤波器的性能分析 (3) 3. 数字滤波器的实现结构对其性能影响的分析 (8) 3.1数字滤波器的实现结构一及其幅频响应 (10) 3.2数字滤波器的实现结构二及其幅频响应 (12) 3.3 数字滤波器的实现结构对其性能影响的小结 (12) 4. 数字滤波器的参数字长对其性能影响的分析 (13) 4.1数字滤波器的实现结构一参数字长及幅频响应特性变化 4.2数字滤波器的实现结构二参数字长及幅频响应特性变化 4.3 数字滤波器的参数字长对其性能影响的小结 (16) 5. 结论及体会 (16) 5.1 滤波器设计、分析结论 (16) 5.2 我的体会 (16) 5.3 展望 (16)

1.数字滤波器的设计任务及要求 1. 设计说明 每位同学抽签得到一个四位数,由该四位数索引下表确定待设计数字滤波器的类型及其设计方法,然后用指定的设计方法完成滤波器设计。 要求:滤波器的设计指标: 低通: (1)通带截止频率πrad (id) pc 32 ln = ω (2)过渡带宽度πrad ) (i d 160 10log tz ≤?ω (3)滚降dB αroll 60= 其中,i d — 抽签得到那个四位数(学号的最末四位数),本设计中i d =0201。 2. 滤波器的初始设计通过手工计算完成; 3. 在计算机辅助计算基础上分析滤波器结构对其性能指标的影响(至少选择两种以上合适的滤波器 结构进行分析); 4. 在计算机辅助计算基础上分析滤波器参数的字长对其性能指标的影响; 5. 以上各项要有理论分析和推导、原程序以及表示计算结果的图表; 6. 课程设计结束时提交设计说明书。 2.数字滤波器的设计及仿真 2.1数字滤波器(编号0201)的设计 数字滤波器是数字信号处理的重要工具之一,它通过数值运算处理改变输入信号所含频率成分的相对比例或者滤出某些频率成分的数字器件或程序,而数字滤波器处理精度高、体积小、稳定、重量轻、灵活、不存在阻抗匹配问题,可以实现模拟滤波器无法实现的特殊功能。 本次课程设计使用MATLAB 信号处理箱和运用切比雪夫法设计数字滤波器,将手工计算一个切比雪夫I 型的IIR 的低通模拟滤波器的系统函数,并在MATLAB 的FDATool 设计工具分析其性能指标。

简单低通滤波器设计及matlab仿真

东北大学 研究生考试试卷 考试科目: 课程编号: 阅卷人: 考试日期: 姓名:xl 学号: 注意事项 1.考前研究生将上述项目填写清楚. 2.字迹要清楚,保持卷面清洁. 3.交卷时请将本试卷和题签一起上交. 4.课程考试后二周内授课教师完成评卷工作,公共课成绩单与试卷交研究生院培养办公室, 专业课成绩单与试卷交各学院,各学院把成绩单交研究生院培养办公室. 东北大学研究生院培养办公室

数字滤波器设计 技术指标: 通带最大衰减: =3dB , 通带边界频率: =100Hz 阻带最小衰减: =20dB 阻带边界频率: =200Hz 采样频率:Fs=200Hz 目标: 1、根据性能指标设计一个巴特沃斯低通模拟滤波器。 2、通过双线性变换将该模拟滤波器转变为数字滤波器。 原理: 一、模拟滤波器设计 每一个滤波器的频率范围将直接取决于应用目的,因此必然是千差万别。为了使设计规范化,需要将滤波器的频率参数作归一化处理。设所给的实际频 率为Ω(或f ),归一化后的频率为λ,对低通模拟滤波器令λ=p ΩΩ/,则1 =p λ, p s s ΩΩ=/λ。令归一化复数变量为p ,λj p =,则p p s j j p Ω=ΩΩ==//λ。所以巴 特沃思模拟低通滤波器的设计可按以下三个步骤来进行。 (1)将实际频率Ω规一化 (2)求Ωc 和N 11010/2-=P C α s p s N λααlg 1 10 110lg 10 /10/--= 这样Ωc 和N 可求。 p x fp s x s f

根据滤波器设计要求=3dB ,则C =1,这样巴特沃思滤波器的设计就只剩一个参数N ,这时 N p N j G 222 )/(11 11)(ΩΩ+= += λλ (3)确定)(s G 因为λj p =,根据上面公式有 N N N p j p p G p G 22)1(11 )/(11)()(-+= += - 由 0)1(12=-+N N p 解得 )221 2exp(πN N k j p k -+=,k =1,2, (2) 这样可得 1 )21 2cos(21 ) )((1 )(21+-+-= --= -+πN N k p p p p p p p G k N k k 求得)(p G 后,用p s Ω/代替变量p ,即得实际需要得)(s G 。 二、双线性变换法 双线性变换法是将s 平面压缩变换到某一中介1s 平面的一条横带里,再通过标准变换关系)*1exp(T s z =将此带变换到整个z 平面上去,这样就使s 平面与z 平面之间建立一一对应的单值关系,消除了多值变换性。 为了将s 平面的Ωj 轴压缩到1s 平面的1Ωj 轴上的pi -到pi 一段上,可以通过以下的正切变换来实现: )21 tan(21T T Ω= Ω 这样当1Ω由T pi -经0变化到T pi 时,Ω由∞-经过0变化到∞+,也映射到了整个Ωj 轴。将这个关系延拓到整个s 平面和1s 平面,则可以得到

数字滤波器课程设计

课程设计 课程设计名称:数字信号处理课程设计 专业班级:电信1203 学生姓名:刘海峰 学号: 201216020307 指导教师:乔丽红 课程设计时间:2015/07/01-2015/07/06 电子信息工程专业课程设计任务书

说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页

一. 技术要求 ?双线性变换法设计切比雪夫II型数字IIR低通滤波器, ?要求通带边界频率为400Hz, ?阻带边界频率分别为500Hz, ?通带最大衰减1dB, ?阻带最小衰减40dB, ?抽样频率为2000Hz, 二. 设计原理 IIR滤波器的设计包括三个步骤:①给出所需要的滤波器的技术指标; ②设计一个H(z)使其逼近所需要的技术指标:③实现所设计的H(z),IIR数字滤波器设计的最通用的方法是借助于模拟滤波器的设计方法。所以IIR数字低通滤波器的设计步骤是:①按一定规则将给出的数字滤波器的技术指标转换为模拟低通滤波器的技术指标;②根据转换后的技术指标设计模拟低通滤波器G(s):③再按一定规则将G(s)转换成H(z)。 在此过程中,我们用到了很多MATLAB中的函数,如设计切比雪夫低通滤波器的函数afd_chebl、由直接型转换为级联型的函数dir2cas、双线性变换的函数bilinear等。其中afd _chebl用于实现用模拟指标设计一个低通模拟滤波器,bilinear用于利用双线性变换法将模拟低通滤波器转换为数字低通滤波器。

三.程序流程图

四:源代码(完美版) %归一化低通滤波器技术指标 clc; clear all; Ap=1; %最大通带衰减 As=40; %最小阻带衰减 W=2000; %抽样周期 Wp=400; %通带边界频率 Ws=500; %阻带边界频率 wp=2*pi*Wp/W; %归一化通带边界频率 ws=2*pi*Ws/W; %归一化阻带边界频率 Wp1=tan(wp/2); %模拟低通滤波器通带边界频率 Ws1=tan(ws/2); %模拟低通滤波器阻带边界频率 %归一化切比雪夫II型低通模拟滤波器 [N,Wn]=cheb2ord(Wp1,Ws1,Ap,As,'s'); %确定滤波器阶数和频率尺度缩放因子 [BT,AT]=cheby2(N,As,Wn,'s');%传输函数的系数 [Z,P,K]=cheb2ap(N,As);%最小阻带衰减为As(DB)的N阶归一化模拟切比雪夫2型低通滤波器的零点、极点和增益因子 [H,W]=zp2tf(Z,P,K);%传输函数有理化形式 figure; [P,Q]=freqs(H,W);

数字滤波器设计步骤

数字信号处理 数字滤波器的设计 学院计算机与电子信息学院 专业电子信息科学与技术班级电子15-2 班姓名学号 指导教师刘利民

数字滤波器的设计 一、模拟低通滤波器的设计方法 1、B utterw orth 滤波器设计步骤: ⑴。确定阶次N ① 已知Ωc 、Ωs 和As 求Bu tt er worth DF 阶数N ② 已知Ωc 、Ωs 和Ω=Ωp (3dB p Ω≠-)的衰减A p 求Bu tterwort h DF 阶数N ③ 已知Ωp、Ωs和Ω=Ωp 的衰减A p 和As 求B utte rwo rth DF 阶数N /10 /1022(/)101,(/)101p s A A N N p c s c ΩΩ=-ΩΩ=-则:

⑵.用阶次N 确定 ()a H s 根据公式: 1,2,2N ()()a a H s H s -在左半平面的极点即为()a H s 的极点,因而 2,,N 2、切比雪夫低通滤波器设计步骤: ⑴.确定技术指标p Ω p α s Ω s α 归一化: /1p p p λ=ΩΩ= /s s p λ=ΩΩ ⑵.根据技术指标求出滤波器阶数N 及ε: 0.12 10 1δε=- p δα= ⑶.求出归一化系统函数 其中极点由下式求出:

或者由N 和S直接查表得()a H p 二、数字低通滤波器的设计步骤: 1、 确定数字低通滤波器的技术指标:通带截止频率p ω、通带最大衰减系数 p α、 阻带截止频率ω、阻带最小衰减系数s α。 2、 将数字低通滤波器的技术指标转换成模拟低通滤波器的技术指标。 巴特沃斯: 切比雪夫:/s s p λ=ΩΩ 0.1210 1δ ε=- p δα=

FIR数字滤波器设计及软件实现

实验五:FIR数字滤波器设计及软件实现 一、实验目的: (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 二、实验容及步骤: (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 友情提示: ○1MATLAB函数fir1和fftfilt的功能及其调用格式请查阅本课本;

○ 2采样频率Fs=1000Hz ,采样周期T=1/Fs ; ○ 3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz ,阻带截至频率fs=150Hz ,换算成数字频率,通带截止频率 p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。] ○ 4实验程序框图如图2所示。 图2 实验程序框图 三、实验程序: 1、信号产生函数xtg 程序清单: %xt=xtg(N) 产生一个长度为N,有加性高频噪声的单频调幅信号xt,采样频率Fs=1000Hz %载波频率fc=Fs/10=100Hz,调制正弦波频率f0=fc/10=10Hz. function xt=xtg N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %载波频率fc=Fs/10,单频调制信号频率为f0=Fc/10;

脉冲响应不变法设计数字低通滤波器

燕山大学 课程设计说明书 题目:脉冲响应不变法设计数字低通滤波器 学院(系):电气工程学院 年级专业:09级精密仪器及机械2班 学号: 0901******** 学生姓名:范程灏 指导教师:刘永红 教师职称:讲师

电气工程学院《课程设计》任务书 课程名称:数字信号处理课程设计 基层教学单位:仪器科学与工程系指导教师: 学号学生姓名(专业)班级设计题目7、脉冲响应不变法设计数字低通滤波器 设 计技术参数给定技术指标为:Hz f p 100 =,Hz f s 300 =,dB p 3 = α,dB s 20 = α,采样频率Hz F s 1000 =。 设 计 要 求 设计Butterworth低通滤波器,用脉冲响应不变法转换成数字滤波器。 参考资料数字信号处理方面资料MATLAB方面资料 周次前半周后半周 应完成内容收集消化资料、学习MA TLAB软件, 进行相关参数计算 编写仿真程序、调试 指导教师签字基层教学单位主任签字

目录 第1章前言 (3) 第2章数字信号处理部分基础知识 (3) 第3章 MATLAB部分基础知识 (8) 3.1 MATLAB介绍 (8) 3.2 MATLAB命令介绍 (8) 第4章仿真过程及仿真图 (9) 4.1 仿真程序 (9) 4.2 仿真波形 (10) 第5章设计结论 (10) 第6章参考文献 (11)

第一章 前言 《数字信号处理》课程设计是在学生完成数字信号处理和MATLAB 的结合后的基本实验以后开设的。本课程设计的目的是为了让学生综合数字信号处理和MATLAB 并实现一个较为完整的小型滤波系统。这一点与验证性的基本实验有本质性的区别。开设课程设计环节的主要目的是通过系统设计、软件仿真、程序安排与调试、写实习报告等步骤,使学生初步掌握工程设计的具体步骤和方法,提高分析问题和解决问题的能力,提高实际应用水平。 IIR 数字滤波器具有无限宽的冲激响应,与模拟滤波器相匹配,所以IIR 滤波器的设计可以采取在模拟滤波器设计的基础上进一步变换的方法。其设计方法主要有经典设计法、直接设计法和最大平滑滤波器设计法。FIR 数字滤波器的单位脉冲响应是有限长序列。它的设计问题实质上是确定能满足所要求的转移序列或脉冲响应的常数问题,设计方法主要有窗函数法、频率采样法和等波纹最佳逼近法等。 第2章 数字信号处理基础知识部分 2.1巴特沃斯滤波器的幅度平方函数及其特点 巴特沃斯模拟滤波器幅度平方函数的形式是 )N c N c a j j j H 222 )/(11 )/(11ΩΩ+= ΩΩ+= Ω (5-6)

FIR数字滤波器课程设计报告

吉林建筑大学 电气与电子信息工程学院 数字信号处理课程设计报告 设计题目:FIR数字滤波器的设计 专业班级: 学生姓名: 学号: 指导教师: 设计时间:

目录 一、设计目的 (3) 二、设计内容 (3) 三、设计原理 (3) 3.1 数字低通滤波器的设计原理 (3) 3.1.1 数字滤波器的定义和分类 (3) 3.1.2 数字滤波器的优点 (3) 3.1.3 FIR滤波器基本原理 (4) 3.2变换方法的原理 (7) 四、设计步骤 (8) 五、数字低通滤波器MATLAB编程及幅频特性曲线 (9) 5.1 MATLAB语言编程 (9) 5.2 幅频特性曲线 (10) 六、总结 (11) 七、参考文献 (13)

一、设计目的 课程设计是理论学习的延伸,是掌握所学知识的一种重要手段,对于贯彻理论联系实际、提高学习质量、塑造自身能力等于有特殊作用。本次课程设计一方面通过MATLAB 仿真设计内容,使我们加深对理论知识的理解,同时增强其逻辑思维能力,另一方面对课堂所学理论知识作一个总结和补充 二、设计内容 (1)设计一线性相位FIR 数字低通滤波器,截止频率 ,过渡带宽度 , 阻带衰减dB A s 30>。 (2)设计一线性相位FIR 数字低通滤波器,截止频率 ,过渡带宽度 ,阻带衰减dB A s 50>。 三、设计原理 3.1数字低通滤波器的设计原理 3.1.1 数字滤波器的定义和分类 数字滤波器是指完成信号滤波处理功能的,用有限精度算法实现的离散时间线性非时变系统,其输入是一组数字量,其输出是经过变换的另一组数字量。因此,数字滤波器本身既可以是用数字硬件装配成的一台完成给定运算的专用的数字计算机,也可以将所需要的运算编成程序,让通用计算机来执行。 从数字滤波器的单位冲击响应来看,可以分为两大类:有限冲击响应(FIR)数字滤波器和无限冲击响应(IIR)数字滤波器。滤波器按功能上分可以分为低通滤波器(LPF)、高通滤波器(HPF)、带通滤波器(BPF)、带阻滤波器(BSF) [4]。 3.1.2 数字滤波器的优点 相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用将会越来越广泛。同时DSP 处理器(Digital Signal Processor)的出现和FPGA(FieldProgrammable Gate Array)的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。 数字滤波器具有以下显著优点: 精度高:模拟电路中元件精度很难达到10-3,以上,而数字系统17位字长就可以达到10-5精度。因此在一些精度要求很高的滤波系统中,就必须采用数字滤0.2c ωπ=0.4ωπ?<0.2c ωπ=0.4ωπ?<

FIR数字滤波器设计与软件实现(精)讲解学习

实验二:FIR 数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1掌握用窗函数法设计 FIR 数字滤波器的原理和方法。 (2掌握用等波纹最佳逼近法设计 FIR 数字滤波器的原理和方法。 (3掌握 FIR 滤波器的快速卷积实现原理。 (4学会调用 MA TLAB 函数设计与实现 FIR 滤波器。 2. 实验内容及步骤 (1认真复习第七章中用窗函数法和等波纹最佳逼近法设计 FIR 数字滤波器的原理; (2调用信号产生函数 xtg 产生具有加性噪声的信号 xt ,并自动显示 xt 及其频谱,如图 1所示;

图 1 具有加性噪声的信号 x(t及其频谱如图 (3请设计低通滤波器,从高频噪声中提取 xt 中的单频调幅信号,要求信号幅频失真小于 0.1dB ,将噪声频谱衰减 60dB 。先观察 xt 的频谱,确定滤波器指标参数。 (4根据滤波器指标选择合适的窗函数,计算窗函数的长度 N ,调用 MATLAB 函数 fir1设计一个 FIR 低通滤波器。并编写程序,调用 MATLAB 快速卷积函数 fftfilt 实现对 xt 的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (5 重复 (3 , 滤波器指标不变, 但改用等波纹最佳逼近法, 调用MA TLAB 函数 remezord 和 remez 设计 FIR 数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○ 1MA TLAB 函数 fir1的功能及其调用格式请查阅教材; ○ 2采样频率 Fs=1000Hz,采样周期 T=1/Fs;

○ 3根据图 1(b和实验要求,可选择滤波器指标参数:通带截止频率 fp=120Hz,阻带截 至频率 fs=150Hz, 换算成数字频率, 通带截止频率 p 20.24 p f ωπ =T=π, 通带最大衰为 0.1dB , 阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为 60dB 。 3、实验程序框图如图 2所示,供读者参考。 图 2 实验程序框图 4.信号产生函数 xtg 程序清单(见教材 二、滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率 fp=120Hz,阻带截至频率 fs=150Hz。代入采样频率 Fs=1000Hz,换算成 数字频率,通带截止频率 p 20.24 p f

设计数字低通滤波器(用matlab实现)

DSP 设计滤波器报告 姓名:张胜男 班级:07级电信(1)班 学号:078319120 一·低通滤波器的设计 (一)实验目的:掌握IIR 数字低通滤波器的设计方法。 (二)实验原理: 1、滤波器的分类 滤波器分两大类:经典滤波器和现代滤波器。 经典滤波器是假定输入信号)(n x 中的有用成分和希望取出的成分各自占有不同的频带。这样,当)(n x 通过一个线性系统(即滤波器)后可讲欲去除的成分有效的去除。 现代滤波器理论研究的主要内容是从含有噪声的数据记录(又称时间序列)中估计出信号的某些特征或信号本身。 经典滤波器分为低通、高通、带通、带阻滤波器。每一种又有模拟滤波器(AF )和数字滤波器(DF )。对数字滤波器,又有IIR 滤波器和FIR 滤波器。 IIR DF 的转移函数是: ∑∑=-=-+==N k k k M r r r z a z b z X z Y z H 10 1)()()( FIR DF 的转移函数是: ∑-=-=10)()(N n n z n h z H FIR 滤波器可以对给定的频率特性直接进行设计,而IIR 滤波器目前最通用的方法是利用已经很成熟的模拟滤波器的设计方法进行设计。 2、滤波器的技术要求 低通滤波器: p ω:通带截止频率(又称通带上限频率) s ω:阻带下限截止频率 p α:通带允许的最大衰减 s α:阻带允许的最小衰减 (p α,s α的单位dB ) p Ω:通带上限角频率 s Ω:阻带下限角频率 (s p p T ω=Ω,s s s T ω=Ω)即 C p p F ωπ2=Ω C s s F ωπ2=Ω 3、IIR 数字滤波器的设计步骤:

数字信号处理-低通滤波器设计实验

实验报告 课程名称:数字信号处理 实验名称:低通滤波器设计实验 院(系): 专业班级: 姓名: 学号: 指导教师: 一、实验目的: 掌握IIR数字低通滤波器的设计方法。 二、实验原理: 2.1设计巴特沃斯IIR滤波器 在MATLAB下,设计巴特沃斯IIR滤波器可使用butter 函数。 Butter函数可设计低通、高通、带通和带阻的数字和模拟IIR滤波器,其特性为使通带内的幅度响应最大限度地平坦,但同时损失截止频率处的下降斜度。在期望通带平滑的情况下,可使用butter函数。butter函数的用法为:

[b,a]=butter(n,Wn)其中n代表滤波器阶数,W n代表滤波器的截止频率,这两个参数可使用buttord函数来确定。buttord函数可在给定滤波器性能的情况下,求出巴特沃斯滤波器的最小阶数n,同时给出对应的截止频率Wn。buttord函数的用法为:[n,Wn]= buttord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 2.2契比雪夫I型IIR滤波器。 在MATLAB下可使用cheby1函数设计出契比雪夫I 型IIR滤波器。 cheby1函数可设计低通、高通、带通和带阻契比雪夫I 型滤IIR波器,其通带内为等波纹,阻带内为单调。契比雪夫I型的下降斜度比II型大,但其代价是通带内波纹较大。cheby1函数的用法为:[b,a]=cheby1(n,Rp,Wn,/ftype/)在使用cheby1函数设计IIR滤波器之前,可使用cheblord 函数求出滤波器阶数n和截止频率Wn。cheblord函数可在给定滤波器性能的情况下,选择契比雪夫I型滤波器的最小阶和截止频率Wn。cheblord函数的用法为: [n,Wn]=cheblord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 三、实验要求: 利用Matlab设计一个数字低通滤波器,指标要求如下:

相关主题
文本预览
相关文档 最新文档